diff --git a/.github/check_license.sh b/.github/check_license.sh new file mode 100755 index 000000000..df9ac4484 --- /dev/null +++ b/.github/check_license.sh @@ -0,0 +1,66 @@ +#!/usr/bin/env bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC + +echo +echo "===========================" +echo "Check SPDX identifier" +echo "===========================" +echo + +ERROR_FILES="" +FILES_TO_CHECK=`find . \ + -size +0 -type f \( -name '*.sh' -o -name '*.py' -o -name 'Makefile' -o -name '*.tcl' \) \ + \( -not -path "*/.*/*" -not -path "*/third_party/*" -not -path "*/database/*" -not -path "*/env/*" -not -path "*/build/*" \)` + +for file in $FILES_TO_CHECK; do + echo "Checking $file" + grep -q "SPDX-License-Identifier" $file || ERROR_FILES="$ERROR_FILES $file" +done + +if [ ! -z "$ERROR_FILES" ]; then + for file in $ERROR_FILES; do + echo "ERROR: $file does not have license information." + done + exit 1 +fi + +echo +echo "===========================" +echo "Check third party LICENSE" +echo "===========================" +echo + +function check_if_submodule { + for submodule in `git submodule --quiet foreach 'echo $sm_path'`; do + if [ "$1" == "$submodule" ]; then + return 1 + fi + done +} + +THIRD_PARTY_DIRS="" +if [[ -e third_party ]]; then + THIRD_PARTY_DIRS=`ls third_party --ignore=reformat.tcl --ignore cctz --ignore gflags --ignore yosys ` +fi +ERROR_NO_LICENSE="" + +for dir in $THIRD_PARTY_DIRS; do + # Checks if we are not in a submodule + if check_if_submodule $dir; then + echo "Checking third_party/$dir" + [ -f third_party/$dir/LICENSE ] || ERROR_NO_LICENSE="$ERROR_NO_LICENSE $dir" + fi +done + +if [ ! -z "$ERROR_NO_LICENSE" ]; then + for dir in $ERROR_NO_LICENSE; do + echo "ERROR: $dir does not have the LICENSE file." + done + exit 1 +fi diff --git a/.github/check_python_scripts.sh b/.github/check_python_scripts.sh new file mode 100755 index 000000000..8007aab5e --- /dev/null +++ b/.github/check_python_scripts.sh @@ -0,0 +1,45 @@ +#!/usr/bin/env bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC + +echo +echo "===================================" +echo "Check Python UTF coding and shebang" +echo "===================================" +echo + +ERROR_FILES_SHEBANG="" +ERROR_FILES_UTF_CODING="" +FILES_TO_CHECK=`find . \ + -size +0 -type f \( -name '*.py' \) \ + \( -not -path "*/.*/*" -not -path "*/third_party/*" -not -path "*/env/*" \)` + +for file in $FILES_TO_CHECK; do + echo "Checking $file" + if [[ -x $file ]]; then + grep -q "\#\!/usr/bin/env python3" $file || ERROR_FILES_SHEBANG="$ERROR_FILES_SHEBANG $file" + fi + grep -q "\#.*coding: utf-8" $file || ERROR_FILES_UTF_CODING="$ERROR_FILES_UTF_CODING $file" +done + +if [ ! -z "$ERROR_FILES_SHEBANG" ]; then + for file in $ERROR_FILES_SHEBANG; do + echo "ERROR: $file does not have the python3 shebang." + done + exit 1 +fi + +if [ ! -z "$ERROR_FILES_UTF_CODING" ]; then + for file in $ERROR_FILES_UTF_CODING; do + echo "ERROR: $file does not have the UTF encoding set." + echo "Add # coding: utf-8" + done + exit 1 +fi + +echo diff --git a/.github/kokoro/db-full.sh b/.github/kokoro/db-full.sh index 282bd0c4d..7130f11d6 100755 --- a/.github/kokoro/db-full.sh +++ b/.github/kokoro/db-full.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -e diff --git a/.github/kokoro/db-quick.sh b/.github/kokoro/db-quick.sh index 35c2aa420..f8ff3b4e0 100755 --- a/.github/kokoro/db-quick.sh +++ b/.github/kokoro/db-quick.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -e diff --git a/.github/kokoro/kokoro-cfg.py b/.github/kokoro/kokoro-cfg.py index 1390bf03e..62cfa9880 100755 --- a/.github/kokoro/kokoro-cfg.py +++ b/.github/kokoro/kokoro-cfg.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC db_full = """\ # Format: //devtools/kokoro/config/proto/build.proto diff --git a/.github/kokoro/steps/git.sh b/.github/kokoro/steps/git.sh index 1837389c1..779348e6c 100755 --- a/.github/kokoro/steps/git.sh +++ b/.github/kokoro/steps/git.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -e diff --git a/.github/kokoro/steps/hostinfo.sh b/.github/kokoro/steps/hostinfo.sh index bd84e9d8a..8e69c9932 100755 --- a/.github/kokoro/steps/hostinfo.sh +++ b/.github/kokoro/steps/hostinfo.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -e diff --git a/.github/kokoro/steps/hostsetup.sh b/.github/kokoro/steps/hostsetup.sh index dc7f56d51..7f2948eda 100755 --- a/.github/kokoro/steps/hostsetup.sh +++ b/.github/kokoro/steps/hostsetup.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -e diff --git a/.github/kokoro/steps/prjxray-env.sh b/.github/kokoro/steps/prjxray-env.sh index 42a54f9d8..95d95155c 100755 --- a/.github/kokoro/steps/prjxray-env.sh +++ b/.github/kokoro/steps/prjxray-env.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -e diff --git a/.github/kokoro/steps/xilinx.sh b/.github/kokoro/steps/xilinx.sh index d874c37ff..599827b98 100755 --- a/.github/kokoro/steps/xilinx.sh +++ b/.github/kokoro/steps/xilinx.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # Fix up things related to Xilinx tool chain. diff --git a/.github/kokoro/tests.sh b/.github/kokoro/tests.sh index 91eb01e5b..5722445d6 100755 --- a/.github/kokoro/tests.sh +++ b/.github/kokoro/tests.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -e diff --git a/.github/update-contributing.py b/.github/update-contributing.py index 7f6ff44e4..e395fd9bd 100755 --- a/.github/update-contributing.py +++ b/.github/update-contributing.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # Header contrib = ["""\ diff --git a/.travis.yml b/.travis.yml index 75ba367ab..5a0ef7047 100644 --- a/.travis.yml +++ b/.travis.yml @@ -32,3 +32,8 @@ jobs: script: - make format - test $(git status --porcelain | wc -l) -eq 0 || { git diff; false; } + + - name: "License Checks" + script: + - ./.github/check_license.sh + - ./.github/check_python_scripts.sh diff --git a/AUTHORS b/AUTHORS new file mode 100644 index 000000000..a7ef49625 --- /dev/null +++ b/AUTHORS @@ -0,0 +1,20 @@ +# This is the list of Project X-Ray's significant contributors. +# +# This does not necessarily list everyone who has contributed code, +# especially since many employees of one corporation may be contributing. +# To see the full list of contributors, see the revision history in +# source control. +Antmicro +Google LLC +Claire Wolf +Rick Altherr +Jake Mercer +Mehdi Khairy +Davide +Herbert Poetzl +Mitja Kleider +Oguz Meteer +David Shah +Felix Held +Leonardo Romor +Paul Schulz diff --git a/COPYING b/LICENSE similarity index 91% rename from COPYING rename to LICENSE index 665f8c2aa..c180c64ff 100644 --- a/COPYING +++ b/LICENSE @@ -1,4 +1,4 @@ -Copyright (C) 2017 The Project X-Ray Authors. All rights reserved. +Copyright (C) 2017-2020 The Project X-Ray Authors. Permission to use, copy, modify, and/or distribute this software for any purpose with or without fee is hereby granted, provided that the above diff --git a/Makefile b/Makefile index 0db670ee1..7aea611db 100644 --- a/Makefile +++ b/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC SHELL = bash ALL_EXCLUDE = third_party .git env build docs/env @@ -118,6 +125,12 @@ format: format-cpp format-docs format-py format-tcl format-trailing-ws .PHONY: format format-cpp format-py format-tcl format-trailing-ws +check-license: + @./.github/check_license.sh + @./.github/check_python_scripts.sh + +.PHONY: check-license + # Targets related to Project X-Ray databases # ------------------------ diff --git a/database/Makefile b/database/Makefile index 41081406c..ec7c675f4 100644 --- a/database/Makefile +++ b/database/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC DATABASE_FILES = *.csv *.db *.json *.yaml *.fasm TIMINGS_FILES = *.sdf PART_DIRECTORIES = xc7*/ diff --git a/docs/Makefile b/docs/Makefile index 3028a5bd7..103b5e17f 100644 --- a/docs/Makefile +++ b/docs/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # Minimal makefile for Sphinx documentation # diff --git a/docs/conf.py b/docs/conf.py index 795c4ef43..d7cbee0fd 100644 --- a/docs/conf.py +++ b/docs/conf.py @@ -1,4 +1,11 @@ # -*- coding: utf-8 -*- +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # # Project X-Ray documentation build configuration file, created by # sphinx-quickstart on Mon Feb 5 11:04:37 2018. diff --git a/download-latest-db.sh b/download-latest-db.sh index 8d0ce8aa0..e159db443 100755 --- a/download-latest-db.sh +++ b/download-latest-db.sh @@ -1,4 +1,11 @@ #!/usr/bin/env bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC GITHUB_PROTO=${1:-https} GITHUB_URL=$GITHUB_PROTO://github.com/SymbiFlow/prjxray-db.git diff --git a/experiments/clbpips/Makefile b/experiments/clbpips/Makefile index d2111cbc8..ec0cbd07b 100644 --- a/experiments/clbpips/Makefile +++ b/experiments/clbpips/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 5 SPECIMENS := $(addprefix specimen_,$(shell seq -f '%03.0f' $(N))) diff --git a/experiments/clbpips/generate.py b/experiments/clbpips/generate.py index b2fe2a2cf..8a5c3a542 100644 --- a/experiments/clbpips/generate.py +++ b/experiments/clbpips/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray.segmaker import Segmaker diff --git a/experiments/clbpips/generate.sh b/experiments/clbpips/generate.sh index 7794bfed7..7ef16f228 100644 --- a/experiments/clbpips/generate.sh +++ b/experiments/clbpips/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source ../../utils/environment.sh source ${XRAY_GENHEADER} diff --git a/experiments/clbpips/generate.tcl b/experiments/clbpips/generate.tcl index fe0830343..17ce258be 100644 --- a/experiments/clbpips/generate.tcl +++ b/experiments/clbpips/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog ../top.v diff --git a/experiments/example/Makefile b/experiments/example/Makefile index f805eeea9..dec8d104f 100644 --- a/experiments/example/Makefile +++ b/experiments/example/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 10 SPECIMENS := $(addprefix specimen_,$(shell seq -f '%03.0f' $(N))) diff --git a/experiments/example/generate.sh b/experiments/example/generate.sh index dc2d57252..4418a38b4 100644 --- a/experiments/example/generate.sh +++ b/experiments/example/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source ../../utils/environment.sh diff --git a/experiments/example/segdata.py b/experiments/example/segdata.py index ea776dca2..d9720575c 100644 --- a/experiments/example/segdata.py +++ b/experiments/example/segdata.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os, json, re diff --git a/experiments/example/utilities.tcl b/experiments/example/utilities.tcl index 4dfd3627b..66e422b00 100644 --- a/experiments/example/utilities.tcl +++ b/experiments/example/utilities.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc puts_list {l} { foreach e $l {puts $e} } diff --git a/experiments/gndvcc/Makefile b/experiments/gndvcc/Makefile index 2022429e9..c2c142aa6 100644 --- a/experiments/gndvcc/Makefile +++ b/experiments/gndvcc/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 10 SPECIMENS := $(addprefix specimen_,$(shell seq -f '%03.0f' $(N))) diff --git a/experiments/gndvcc/generate.py b/experiments/gndvcc/generate.py index 366db065c..a53923071 100644 --- a/experiments/gndvcc/generate.py +++ b/experiments/gndvcc/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import re diff --git a/experiments/gndvcc/generate.sh b/experiments/gndvcc/generate.sh index d6bf8b490..f5cb08b69 100644 --- a/experiments/gndvcc/generate.sh +++ b/experiments/gndvcc/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source ${XRAY_GENHEADER} diff --git a/experiments/gndvcc/generate.tcl b/experiments/gndvcc/generate.tcl index bf3a38702..546d5121b 100644 --- a/experiments/gndvcc/generate.tcl +++ b/experiments/gndvcc/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog ../top.v diff --git a/experiments/gndvcc/maketodo.py b/experiments/gndvcc/maketodo.py index 07be79f60..b0a3133bb 100644 --- a/experiments/gndvcc/maketodo.py +++ b/experiments/gndvcc/maketodo.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os, re diff --git a/experiments/gndvcc/piplist.tcl b/experiments/gndvcc/piplist.tcl index d3b03b3c1..4a32ecb54 100644 --- a/experiments/gndvcc/piplist.tcl +++ b/experiments/gndvcc/piplist.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) piplist piplist read_verilog top.v diff --git a/experiments/pipsroute/Makefile b/experiments/pipsroute/Makefile index 076f86071..1b896a05d 100644 --- a/experiments/pipsroute/Makefile +++ b/experiments/pipsroute/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 100 SPECIMENS := $(addprefix specimen_,$(shell seq -f '%03.0f' $(N))) diff --git a/experiments/pipsroute/generate.py b/experiments/pipsroute/generate.py index aa131cae9..b7d5beb28 100644 --- a/experiments/pipsroute/generate.py +++ b/experiments/pipsroute/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import sys, re diff --git a/experiments/pipsroute/generate.sh b/experiments/pipsroute/generate.sh index fb9203a5f..fbf2b3f92 100644 --- a/experiments/pipsroute/generate.sh +++ b/experiments/pipsroute/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source ${XRAY_GENHEADER} diff --git a/experiments/pipsroute/generate.tcl b/experiments/pipsroute/generate.tcl index 22571c32f..30156e4e9 100644 --- a/experiments/pipsroute/generate.tcl +++ b/experiments/pipsroute/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog ../top.v diff --git a/experiments/pipsroute/maketodo.py b/experiments/pipsroute/maketodo.py index dea2ff24d..7e11a3e1e 100644 --- a/experiments/pipsroute/maketodo.py +++ b/experiments/pipsroute/maketodo.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os, re diff --git a/experiments/pipsroute/piplist.tcl b/experiments/pipsroute/piplist.tcl index d3b03b3c1..4a32ecb54 100644 --- a/experiments/pipsroute/piplist.tcl +++ b/experiments/pipsroute/piplist.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) piplist piplist read_verilog top.v diff --git a/fuzzers/000-init-db/Makefile b/fuzzers/000-init-db/Makefile index 64f6a51f7..97ea5d824 100644 --- a/fuzzers/000-init-db/Makefile +++ b/fuzzers/000-init-db/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC MASKS=\ bram \ diff --git a/fuzzers/001-part-yaml/Makefile b/fuzzers/001-part-yaml/Makefile index bf90aa97d..ec59b0627 100644 --- a/fuzzers/001-part-yaml/Makefile +++ b/fuzzers/001-part-yaml/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 1 BUILD_DIR = build_${XRAY_PART} SPECIMENS := $(addprefix $(BUILD_DIR)/specimen_,$(shell seq -f '%03.0f' $(N))) diff --git a/fuzzers/001-part-yaml/add_iobanks.py b/fuzzers/001-part-yaml/add_iobanks.py index 503ab6204..8a9d4afbe 100644 --- a/fuzzers/001-part-yaml/add_iobanks.py +++ b/fuzzers/001-part-yaml/add_iobanks.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC ''' Script for adding the IO Banks information to the Part's generated JSON. ''' diff --git a/fuzzers/001-part-yaml/generate.tcl b/fuzzers/001-part-yaml/generate.tcl index 27b16f93c..5cce0a95b 100644 --- a/fuzzers/001-part-yaml/generate.tcl +++ b/fuzzers/001-part-yaml/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc extract_iobanks {filename} { set fp [open $filename "w"] foreach iobank [get_iobanks] { diff --git a/fuzzers/005-tilegrid/Makefile b/fuzzers/005-tilegrid/Makefile index a02bba5c5..e568eeb65 100644 --- a/fuzzers/005-tilegrid/Makefile +++ b/fuzzers/005-tilegrid/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC FUZDIR=$(shell pwd) BUILD_FOLDER=build_${XRAY_PART} BUILD_DIR=$(FUZDIR)/$(BUILD_FOLDER) diff --git a/fuzzers/005-tilegrid/add_tdb.py b/fuzzers/005-tilegrid/add_tdb.py index 45875463b..cb41dfbeb 100644 --- a/fuzzers/005-tilegrid/add_tdb.py +++ b/fuzzers/005-tilegrid/add_tdb.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray import xjson import json diff --git a/fuzzers/005-tilegrid/bram/Makefile b/fuzzers/005-tilegrid/bram/Makefile index 4b3f5a1e2..74b6bf614 100644 --- a/fuzzers/005-tilegrid/bram/Makefile +++ b/fuzzers/005-tilegrid/bram/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 12 GENERATE_ARGS?="--oneval 1 --design params.csv --dword 2 --dframe 1B" include ../fuzzaddr/common.mk diff --git a/fuzzers/005-tilegrid/bram/generate.tcl b/fuzzers/005-tilegrid/bram/generate.tcl index 5e72d3333..86d58a908 100644 --- a/fuzzers/005-tilegrid/bram/generate.tcl +++ b/fuzzers/005-tilegrid/bram/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc run {} { diff --git a/fuzzers/005-tilegrid/bram/top.py b/fuzzers/005-tilegrid/bram/top.py index 030d77687..201d8a0b6 100644 --- a/fuzzers/005-tilegrid/bram/top.py +++ b/fuzzers/005-tilegrid/bram/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random random.seed(int(os.getenv("SEED"), 16)) diff --git a/fuzzers/005-tilegrid/bram_block/Makefile b/fuzzers/005-tilegrid/bram_block/Makefile index d06ceb111..a8872b935 100644 --- a/fuzzers/005-tilegrid/bram_block/Makefile +++ b/fuzzers/005-tilegrid/bram_block/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 12 GENERATE_ARGS?="--oneval 1 --design params.csv --dword 0 --dframe 0" include ../fuzzaddr/common.mk diff --git a/fuzzers/005-tilegrid/bram_block/generate.tcl b/fuzzers/005-tilegrid/bram_block/generate.tcl index 9e8cab8aa..5a47419db 100644 --- a/fuzzers/005-tilegrid/bram_block/generate.tcl +++ b/fuzzers/005-tilegrid/bram_block/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc run {} { diff --git a/fuzzers/005-tilegrid/bram_block/top.py b/fuzzers/005-tilegrid/bram_block/top.py index 40e50a2f2..c2e61d63f 100644 --- a/fuzzers/005-tilegrid/bram_block/top.py +++ b/fuzzers/005-tilegrid/bram_block/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random random.seed(int(os.getenv("SEED"), 16)) diff --git a/fuzzers/005-tilegrid/bram_int/Makefile b/fuzzers/005-tilegrid/bram_int/Makefile index a668b99ca..376e65e59 100644 --- a/fuzzers/005-tilegrid/bram_int/Makefile +++ b/fuzzers/005-tilegrid/bram_int/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 15 GENERATE_ARGS?="--oneval 0 --design params.csv --dword 1 --dframe 15" include ../fuzzaddr/common.mk diff --git a/fuzzers/005-tilegrid/bram_int/generate.tcl b/fuzzers/005-tilegrid/bram_int/generate.tcl index 5a69791fc..352f6ca5a 100644 --- a/fuzzers/005-tilegrid/bram_int/generate.tcl +++ b/fuzzers/005-tilegrid/bram_int/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" generate_top diff --git a/fuzzers/005-tilegrid/bram_int/top.py b/fuzzers/005-tilegrid/bram_int/top.py index 8b99e2fbd..151ba0e3d 100644 --- a/fuzzers/005-tilegrid/bram_int/top.py +++ b/fuzzers/005-tilegrid/bram_int/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random import itertools diff --git a/fuzzers/005-tilegrid/cfg/Makefile b/fuzzers/005-tilegrid/cfg/Makefile index 215b3469e..e7ad05238 100644 --- a/fuzzers/005-tilegrid/cfg/Makefile +++ b/fuzzers/005-tilegrid/cfg/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 2 GENERATE_ARGS?="--oneval 1 --design params.csv --dword 67 --dframe 1B --dbit 18" include ../fuzzaddr/common.mk diff --git a/fuzzers/005-tilegrid/cfg/generate.tcl b/fuzzers/005-tilegrid/cfg/generate.tcl index b28533cd7..1c52b9097 100644 --- a/fuzzers/005-tilegrid/cfg/generate.tcl +++ b/fuzzers/005-tilegrid/cfg/generate.tcl @@ -1,2 +1,9 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" generate_top diff --git a/fuzzers/005-tilegrid/cfg/top.py b/fuzzers/005-tilegrid/cfg/top.py index 99a12acc9..4e436853e 100644 --- a/fuzzers/005-tilegrid/cfg/top.py +++ b/fuzzers/005-tilegrid/cfg/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random #random.seed(int(os.getenv("SEED"), 16)) diff --git a/fuzzers/005-tilegrid/cfg_int/Makefile b/fuzzers/005-tilegrid/cfg_int/Makefile index e073f3120..fd032f22b 100644 --- a/fuzzers/005-tilegrid/cfg_int/Makefile +++ b/fuzzers/005-tilegrid/cfg_int/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 10 GENERATE_ARGS?="--oneval 0 --design params.csv --dframe 15 --dword 0" include ../fuzzaddr/common.mk diff --git a/fuzzers/005-tilegrid/cfg_int/generate.tcl b/fuzzers/005-tilegrid/cfg_int/generate.tcl index 5a69791fc..352f6ca5a 100644 --- a/fuzzers/005-tilegrid/cfg_int/generate.tcl +++ b/fuzzers/005-tilegrid/cfg_int/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" generate_top diff --git a/fuzzers/005-tilegrid/cfg_int/top.py b/fuzzers/005-tilegrid/cfg_int/top.py index d726bc164..f73722ddc 100644 --- a/fuzzers/005-tilegrid/cfg_int/top.py +++ b/fuzzers/005-tilegrid/cfg_int/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random random.seed(int(os.getenv("SEED"), 16)) diff --git a/fuzzers/005-tilegrid/clb/Makefile b/fuzzers/005-tilegrid/clb/Makefile index c7a905fc5..f61a4baee 100644 --- a/fuzzers/005-tilegrid/clb/Makefile +++ b/fuzzers/005-tilegrid/clb/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 20 GENERATE_ARGS?="--oneval 1 --design params.csv --dword 0 --dframe 0" include ../fuzzaddr/common.mk diff --git a/fuzzers/005-tilegrid/clb/generate.tcl b/fuzzers/005-tilegrid/clb/generate.tcl index 26a9b4099..17a6eb555 100644 --- a/fuzzers/005-tilegrid/clb/generate.tcl +++ b/fuzzers/005-tilegrid/clb/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc run {} { diff --git a/fuzzers/005-tilegrid/clb/top.py b/fuzzers/005-tilegrid/clb/top.py index 9b32b4dd3..860b892ef 100644 --- a/fuzzers/005-tilegrid/clb/top.py +++ b/fuzzers/005-tilegrid/clb/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random random.seed(int(os.getenv("SEED"), 16)) diff --git a/fuzzers/005-tilegrid/clb_int/Makefile b/fuzzers/005-tilegrid/clb_int/Makefile index 27baa9987..d6470e0c2 100644 --- a/fuzzers/005-tilegrid/clb_int/Makefile +++ b/fuzzers/005-tilegrid/clb_int/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 20 GENERATE_ARGS?="--oneval 0 --design params.csv --dword 1 --dframe 15" include ../fuzzaddr/common.mk diff --git a/fuzzers/005-tilegrid/clb_int/generate.tcl b/fuzzers/005-tilegrid/clb_int/generate.tcl index 7fed629d6..268e600e1 100644 --- a/fuzzers/005-tilegrid/clb_int/generate.tcl +++ b/fuzzers/005-tilegrid/clb_int/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc run {} { diff --git a/fuzzers/005-tilegrid/clb_int/top.py b/fuzzers/005-tilegrid/clb_int/top.py index 87d5ee1e2..88f675eec 100644 --- a/fuzzers/005-tilegrid/clb_int/top.py +++ b/fuzzers/005-tilegrid/clb_int/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random random.seed(int(os.getenv("SEED"), 16)) diff --git a/fuzzers/005-tilegrid/clk_bufg/Makefile b/fuzzers/005-tilegrid/clk_bufg/Makefile index 68c698be0..dd0854890 100644 --- a/fuzzers/005-tilegrid/clk_bufg/Makefile +++ b/fuzzers/005-tilegrid/clk_bufg/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 5 GENERATE_ARGS?="--oneval 1 --design params.csv --dword 0 --dframe 1B" include ../fuzzaddr/common.mk diff --git a/fuzzers/005-tilegrid/clk_bufg/generate.tcl b/fuzzers/005-tilegrid/clk_bufg/generate.tcl index 5a69791fc..352f6ca5a 100644 --- a/fuzzers/005-tilegrid/clk_bufg/generate.tcl +++ b/fuzzers/005-tilegrid/clk_bufg/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" generate_top diff --git a/fuzzers/005-tilegrid/clk_bufg/top.py b/fuzzers/005-tilegrid/clk_bufg/top.py index 6f821ff83..b32ddb800 100644 --- a/fuzzers/005-tilegrid/clk_bufg/top.py +++ b/fuzzers/005-tilegrid/clk_bufg/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random random.seed(int(os.getenv("SEED"), 16)) diff --git a/fuzzers/005-tilegrid/clk_hrow/Makefile b/fuzzers/005-tilegrid/clk_hrow/Makefile index 1934a3f75..f2085ccc6 100644 --- a/fuzzers/005-tilegrid/clk_hrow/Makefile +++ b/fuzzers/005-tilegrid/clk_hrow/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 5 GENERATE_ARGS?="--oneval 1 --design params.csv --dword 6 --dframe 1A" include ../fuzzaddr/common.mk diff --git a/fuzzers/005-tilegrid/clk_hrow/generate.tcl b/fuzzers/005-tilegrid/clk_hrow/generate.tcl index 5a69791fc..352f6ca5a 100644 --- a/fuzzers/005-tilegrid/clk_hrow/generate.tcl +++ b/fuzzers/005-tilegrid/clk_hrow/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" generate_top diff --git a/fuzzers/005-tilegrid/clk_hrow/top.py b/fuzzers/005-tilegrid/clk_hrow/top.py index 8a724427d..a52a15864 100644 --- a/fuzzers/005-tilegrid/clk_hrow/top.py +++ b/fuzzers/005-tilegrid/clk_hrow/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random random.seed(int(os.getenv("SEED"), 16)) diff --git a/fuzzers/005-tilegrid/dsp/Makefile b/fuzzers/005-tilegrid/dsp/Makefile index 70d606ff9..fcda9fad4 100644 --- a/fuzzers/005-tilegrid/dsp/Makefile +++ b/fuzzers/005-tilegrid/dsp/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 15 GENERATE_ARGS?="--oneval 1 --design params.csv --dword 0 --dframe 1B" include ../fuzzaddr/common.mk diff --git a/fuzzers/005-tilegrid/dsp/generate.tcl b/fuzzers/005-tilegrid/dsp/generate.tcl index 5a69791fc..352f6ca5a 100644 --- a/fuzzers/005-tilegrid/dsp/generate.tcl +++ b/fuzzers/005-tilegrid/dsp/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" generate_top diff --git a/fuzzers/005-tilegrid/dsp/top.py b/fuzzers/005-tilegrid/dsp/top.py index 6bd4dbeb4..8c44434c0 100644 --- a/fuzzers/005-tilegrid/dsp/top.py +++ b/fuzzers/005-tilegrid/dsp/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random random.seed(int(os.getenv("SEED"), 16)) diff --git a/fuzzers/005-tilegrid/dsp_int/Makefile b/fuzzers/005-tilegrid/dsp_int/Makefile index a17599fac..5b9e9eff8 100644 --- a/fuzzers/005-tilegrid/dsp_int/Makefile +++ b/fuzzers/005-tilegrid/dsp_int/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 17 GENERATE_ARGS?="--oneval 0 --design params.csv --dword 1 --dframe 15" include ../fuzzaddr/common.mk diff --git a/fuzzers/005-tilegrid/dsp_int/generate.tcl b/fuzzers/005-tilegrid/dsp_int/generate.tcl index cadfbf3e3..308ad8ad5 100644 --- a/fuzzers/005-tilegrid/dsp_int/generate.tcl +++ b/fuzzers/005-tilegrid/dsp_int/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc run {} { diff --git a/fuzzers/005-tilegrid/dsp_int/top.py b/fuzzers/005-tilegrid/dsp_int/top.py index 3bf8154db..3a1307b67 100644 --- a/fuzzers/005-tilegrid/dsp_int/top.py +++ b/fuzzers/005-tilegrid/dsp_int/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random import itertools diff --git a/fuzzers/005-tilegrid/fifo_int/Makefile b/fuzzers/005-tilegrid/fifo_int/Makefile index 2c51c8739..33f05ffc2 100644 --- a/fuzzers/005-tilegrid/fifo_int/Makefile +++ b/fuzzers/005-tilegrid/fifo_int/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 20 GENERATE_ARGS?="--oneval 0 --design params.csv --dword 0 --dframe 15" include ../fuzzaddr/common.mk diff --git a/fuzzers/005-tilegrid/fifo_int/generate.tcl b/fuzzers/005-tilegrid/fifo_int/generate.tcl index 5a69791fc..352f6ca5a 100644 --- a/fuzzers/005-tilegrid/fifo_int/generate.tcl +++ b/fuzzers/005-tilegrid/fifo_int/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" generate_top diff --git a/fuzzers/005-tilegrid/fifo_int/top.py b/fuzzers/005-tilegrid/fifo_int/top.py index 35c9ff5e9..85f992c5b 100644 --- a/fuzzers/005-tilegrid/fifo_int/top.py +++ b/fuzzers/005-tilegrid/fifo_int/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random import itertools diff --git a/fuzzers/005-tilegrid/fuzzaddr/generate.py b/fuzzers/005-tilegrid/fuzzaddr/generate.py index 5282db882..83b9739f4 100644 --- a/fuzzers/005-tilegrid/fuzzaddr/generate.py +++ b/fuzzers/005-tilegrid/fuzzaddr/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray import bitsmaker diff --git a/fuzzers/005-tilegrid/fuzzaddr/generate.sh b/fuzzers/005-tilegrid/fuzzaddr/generate.sh index f5095f624..6cddb58bb 100644 --- a/fuzzers/005-tilegrid/fuzzaddr/generate.sh +++ b/fuzzers/005-tilegrid/fuzzaddr/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex diff --git a/fuzzers/005-tilegrid/generate.py b/fuzzers/005-tilegrid/generate.py index d96533a2c..2226d40ef 100644 --- a/fuzzers/005-tilegrid/generate.py +++ b/fuzzers/005-tilegrid/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from utils import xjson diff --git a/fuzzers/005-tilegrid/generate.sh b/fuzzers/005-tilegrid/generate.sh index c97d63690..5ace429b9 100644 --- a/fuzzers/005-tilegrid/generate.sh +++ b/fuzzers/005-tilegrid/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash -x +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC PRJ=$2 diff --git a/fuzzers/005-tilegrid/generate_full.py b/fuzzers/005-tilegrid/generate_full.py index d8df0ec17..f5a8be4e1 100644 --- a/fuzzers/005-tilegrid/generate_full.py +++ b/fuzzers/005-tilegrid/generate_full.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import copy import json import os diff --git a/fuzzers/005-tilegrid/generate_tiles.tcl b/fuzzers/005-tilegrid/generate_tiles.tcl index e5a32ecf0..7ccce6879 100644 --- a/fuzzers/005-tilegrid/generate_tiles.tcl +++ b/fuzzers/005-tilegrid/generate_tiles.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(FUZDIR)/util.tcl" proc write_tiles_txt {} { diff --git a/fuzzers/005-tilegrid/hclk_cmt/Makefile b/fuzzers/005-tilegrid/hclk_cmt/Makefile index dd7543dba..9fd053025 100644 --- a/fuzzers/005-tilegrid/hclk_cmt/Makefile +++ b/fuzzers/005-tilegrid/hclk_cmt/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 6 GENERATE_ARGS?="--oneval 1 --design params.csv --dword 5 --dframe 1C" include ../fuzzaddr/common.mk diff --git a/fuzzers/005-tilegrid/hclk_cmt/generate.tcl b/fuzzers/005-tilegrid/hclk_cmt/generate.tcl index 5a69791fc..352f6ca5a 100644 --- a/fuzzers/005-tilegrid/hclk_cmt/generate.tcl +++ b/fuzzers/005-tilegrid/hclk_cmt/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" generate_top diff --git a/fuzzers/005-tilegrid/hclk_cmt/top.py b/fuzzers/005-tilegrid/hclk_cmt/top.py index 2665828e2..ae82cdd14 100644 --- a/fuzzers/005-tilegrid/hclk_cmt/top.py +++ b/fuzzers/005-tilegrid/hclk_cmt/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random random.seed(int(os.getenv("SEED"), 16)) diff --git a/fuzzers/005-tilegrid/hclk_ioi/Makefile b/fuzzers/005-tilegrid/hclk_ioi/Makefile index d0a1ce100..07bd819a0 100644 --- a/fuzzers/005-tilegrid/hclk_ioi/Makefile +++ b/fuzzers/005-tilegrid/hclk_ioi/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 6 GENERATE_ARGS?="--oneval 1 --design params.csv --dword 0 --dframe 21" include ../fuzzaddr/common.mk diff --git a/fuzzers/005-tilegrid/hclk_ioi/generate.tcl b/fuzzers/005-tilegrid/hclk_ioi/generate.tcl index 5a69791fc..352f6ca5a 100644 --- a/fuzzers/005-tilegrid/hclk_ioi/generate.tcl +++ b/fuzzers/005-tilegrid/hclk_ioi/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" generate_top diff --git a/fuzzers/005-tilegrid/hclk_ioi/top.py b/fuzzers/005-tilegrid/hclk_ioi/top.py index 63e231ce3..2a9eb6174 100644 --- a/fuzzers/005-tilegrid/hclk_ioi/top.py +++ b/fuzzers/005-tilegrid/hclk_ioi/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random random.seed(int(os.getenv("SEED"), 16)) diff --git a/fuzzers/005-tilegrid/iob/Makefile b/fuzzers/005-tilegrid/iob/Makefile index 769bddf1d..21ff908fa 100644 --- a/fuzzers/005-tilegrid/iob/Makefile +++ b/fuzzers/005-tilegrid/iob/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 30 GENERATE_ARGS?="--oneval 1 --design params.csv --dframe 26 --dword 1" include ../fuzzaddr/common.mk diff --git a/fuzzers/005-tilegrid/iob/generate.tcl b/fuzzers/005-tilegrid/iob/generate.tcl index 8d7ddbf4f..d68fa6ae6 100644 --- a/fuzzers/005-tilegrid/iob/generate.tcl +++ b/fuzzers/005-tilegrid/iob/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc make_io_pin_sites {} { diff --git a/fuzzers/005-tilegrid/iob/top.py b/fuzzers/005-tilegrid/iob/top.py index 8656e360f..8734755eb 100644 --- a/fuzzers/005-tilegrid/iob/top.py +++ b/fuzzers/005-tilegrid/iob/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random random.seed(int(os.getenv("SEED"), 16)) diff --git a/fuzzers/005-tilegrid/iob_int/Makefile b/fuzzers/005-tilegrid/iob_int/Makefile index 99c016c0d..9f2ad5087 100644 --- a/fuzzers/005-tilegrid/iob_int/Makefile +++ b/fuzzers/005-tilegrid/iob_int/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 16 GENERATE_ARGS?="--oneval 0 --design params.csv --dframe 14 --dword 1" include ../fuzzaddr/common.mk diff --git a/fuzzers/005-tilegrid/iob_int/generate.tcl b/fuzzers/005-tilegrid/iob_int/generate.tcl index dc7f56743..5a14611db 100644 --- a/fuzzers/005-tilegrid/iob_int/generate.tcl +++ b/fuzzers/005-tilegrid/iob_int/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc make_io_pin_sites {} { diff --git a/fuzzers/005-tilegrid/iob_int/top.py b/fuzzers/005-tilegrid/iob_int/top.py index bc3485a9f..e1d6f8daf 100644 --- a/fuzzers/005-tilegrid/iob_int/top.py +++ b/fuzzers/005-tilegrid/iob_int/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC ''' Generate a primitive to place at every I/O Unlike CLB tests, the LFSR for this is inside the ROI, not driving it diff --git a/fuzzers/005-tilegrid/ioi/Makefile b/fuzzers/005-tilegrid/ioi/Makefile index 63410fbcf..9fd5b9419 100644 --- a/fuzzers/005-tilegrid/ioi/Makefile +++ b/fuzzers/005-tilegrid/ioi/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 24 GENERATE_ARGS?="--oneval 1 --design params.csv --dframe 20 --dword 3" include ../fuzzaddr/common.mk diff --git a/fuzzers/005-tilegrid/ioi/generate.tcl b/fuzzers/005-tilegrid/ioi/generate.tcl index 96cf1c4c9..c01776460 100644 --- a/fuzzers/005-tilegrid/ioi/generate.tcl +++ b/fuzzers/005-tilegrid/ioi/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc make_io_pin_sites {} { diff --git a/fuzzers/005-tilegrid/ioi/top.py b/fuzzers/005-tilegrid/ioi/top.py index 2009a8bee..edc56c8b2 100644 --- a/fuzzers/005-tilegrid/ioi/top.py +++ b/fuzzers/005-tilegrid/ioi/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json import io import os diff --git a/fuzzers/005-tilegrid/mmcm/Makefile b/fuzzers/005-tilegrid/mmcm/Makefile index b207cd5ac..06eaba167 100644 --- a/fuzzers/005-tilegrid/mmcm/Makefile +++ b/fuzzers/005-tilegrid/mmcm/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 6 # Was expecting oneval 3, but bits might be inverted # FIXME: dword diff --git a/fuzzers/005-tilegrid/mmcm/generate.tcl b/fuzzers/005-tilegrid/mmcm/generate.tcl index cb0271e1e..198529a80 100644 --- a/fuzzers/005-tilegrid/mmcm/generate.tcl +++ b/fuzzers/005-tilegrid/mmcm/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc run {} { diff --git a/fuzzers/005-tilegrid/mmcm/top.py b/fuzzers/005-tilegrid/mmcm/top.py index f92e862da..2a1a59dc0 100644 --- a/fuzzers/005-tilegrid/mmcm/top.py +++ b/fuzzers/005-tilegrid/mmcm/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random random.seed(int(os.getenv("SEED"), 16)) diff --git a/fuzzers/005-tilegrid/monitor/Makefile b/fuzzers/005-tilegrid/monitor/Makefile index 92599455c..743f25ade 100644 --- a/fuzzers/005-tilegrid/monitor/Makefile +++ b/fuzzers/005-tilegrid/monitor/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 2 GENERATE_ARGS?="--oneval 1 --design params.csv --dframe 1C --dword 53 --dbit 24" include ../fuzzaddr/common.mk diff --git a/fuzzers/005-tilegrid/monitor/generate.tcl b/fuzzers/005-tilegrid/monitor/generate.tcl index 5e72d3333..86d58a908 100644 --- a/fuzzers/005-tilegrid/monitor/generate.tcl +++ b/fuzzers/005-tilegrid/monitor/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc run {} { diff --git a/fuzzers/005-tilegrid/monitor/top.py b/fuzzers/005-tilegrid/monitor/top.py index e61eaa49e..353f6ffdc 100644 --- a/fuzzers/005-tilegrid/monitor/top.py +++ b/fuzzers/005-tilegrid/monitor/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random random.seed(int(os.getenv("SEED"), 16)) diff --git a/fuzzers/005-tilegrid/monitor_int/Makefile b/fuzzers/005-tilegrid/monitor_int/Makefile index e583d848c..b39ad7be8 100644 --- a/fuzzers/005-tilegrid/monitor_int/Makefile +++ b/fuzzers/005-tilegrid/monitor_int/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 4 GENERATE_ARGS?="--oneval 0 --design params.csv --dframe 15 --dword 0" include ../fuzzaddr/common.mk diff --git a/fuzzers/005-tilegrid/monitor_int/generate.tcl b/fuzzers/005-tilegrid/monitor_int/generate.tcl index 5a69791fc..352f6ca5a 100644 --- a/fuzzers/005-tilegrid/monitor_int/generate.tcl +++ b/fuzzers/005-tilegrid/monitor_int/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" generate_top diff --git a/fuzzers/005-tilegrid/monitor_int/top.py b/fuzzers/005-tilegrid/monitor_int/top.py index 6e58123ea..e4b9c0ee3 100644 --- a/fuzzers/005-tilegrid/monitor_int/top.py +++ b/fuzzers/005-tilegrid/monitor_int/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random random.seed(int(os.getenv("SEED"), 16)) diff --git a/fuzzers/005-tilegrid/orphan_int_column/Makefile b/fuzzers/005-tilegrid/orphan_int_column/Makefile index 10b04809a..14d33757f 100644 --- a/fuzzers/005-tilegrid/orphan_int_column/Makefile +++ b/fuzzers/005-tilegrid/orphan_int_column/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 16 GENERATE_ARGS?="--oneval 1 --design params.csv --dframe 9 --dword 0" include ../fuzzaddr/common.mk diff --git a/fuzzers/005-tilegrid/orphan_int_column/generate.tcl b/fuzzers/005-tilegrid/orphan_int_column/generate.tcl index 41aeaf390..061cb4a2a 100644 --- a/fuzzers/005-tilegrid/orphan_int_column/generate.tcl +++ b/fuzzers/005-tilegrid/orphan_int_column/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc run {} { diff --git a/fuzzers/005-tilegrid/orphan_int_column/top.py b/fuzzers/005-tilegrid/orphan_int_column/top.py index 85596a154..9616f9dba 100644 --- a/fuzzers/005-tilegrid/orphan_int_column/top.py +++ b/fuzzers/005-tilegrid/orphan_int_column/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random random.seed(int(os.getenv("SEED"), 16)) diff --git a/fuzzers/005-tilegrid/pll/Makefile b/fuzzers/005-tilegrid/pll/Makefile index e8557d804..15d526c90 100644 --- a/fuzzers/005-tilegrid/pll/Makefile +++ b/fuzzers/005-tilegrid/pll/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 6 GENERATE_ARGS?="--oneval 1 --design params.csv --dframe 1C --dword 23" include ../fuzzaddr/common.mk diff --git a/fuzzers/005-tilegrid/pll/generate.tcl b/fuzzers/005-tilegrid/pll/generate.tcl index 512390798..5954683f9 100644 --- a/fuzzers/005-tilegrid/pll/generate.tcl +++ b/fuzzers/005-tilegrid/pll/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc run {} { diff --git a/fuzzers/005-tilegrid/pll/top.py b/fuzzers/005-tilegrid/pll/top.py index a31bc7deb..f1a8be2a5 100644 --- a/fuzzers/005-tilegrid/pll/top.py +++ b/fuzzers/005-tilegrid/pll/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random random.seed(int(os.getenv("SEED"), 16)) diff --git a/fuzzers/005-tilegrid/ps7_int/Makefile b/fuzzers/005-tilegrid/ps7_int/Makefile index 48fce3c97..df9158415 100644 --- a/fuzzers/005-tilegrid/ps7_int/Makefile +++ b/fuzzers/005-tilegrid/ps7_int/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 2 GENERATE_ARGS?="--oneval 0 --design params.csv --dframe 14 --dword 0 --dbit 17" include ../fuzzaddr/common.mk diff --git a/fuzzers/005-tilegrid/ps7_int/generate.tcl b/fuzzers/005-tilegrid/ps7_int/generate.tcl index cb0271e1e..198529a80 100644 --- a/fuzzers/005-tilegrid/ps7_int/generate.tcl +++ b/fuzzers/005-tilegrid/ps7_int/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc run {} { diff --git a/fuzzers/005-tilegrid/ps7_int/top.py b/fuzzers/005-tilegrid/ps7_int/top.py index 0f5ef5c9a..b104633e9 100644 --- a/fuzzers/005-tilegrid/ps7_int/top.py +++ b/fuzzers/005-tilegrid/ps7_int/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random random.seed(int(os.getenv("SEED"), 16)) diff --git a/fuzzers/005-tilegrid/util.py b/fuzzers/005-tilegrid/util.py index 303b2909b..421f7b3be 100644 --- a/fuzzers/005-tilegrid/util.py +++ b/fuzzers/005-tilegrid/util.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray import util ''' diff --git a/fuzzers/005-tilegrid/util.tcl b/fuzzers/005-tilegrid/util.tcl index 3765fff33..581b9945a 100644 --- a/fuzzers/005-tilegrid/util.tcl +++ b/fuzzers/005-tilegrid/util.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc min_ysite { duts_in_column } { # Given a list of sites, return the one with the lowest Y coordinate diff --git a/fuzzers/007-timing/Makefile b/fuzzers/007-timing/Makefile index 60a3fad56..fa9e836e1 100644 --- a/fuzzers/007-timing/Makefile +++ b/fuzzers/007-timing/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC SLICEL_SDFS = bel/build/slicel.sdf bel/build/carry4_slicel.sdf routing-bels/build/slicel.sdf SLICEM_SDFS = bel/build/slicem.sdf bel/build/carry4_slicem.sdf routing-bels/build/slicem.sdf diff --git a/fuzzers/007-timing/bel/Makefile b/fuzzers/007-timing/bel/Makefile index e30e7268f..b6258c3de 100644 --- a/fuzzers/007-timing/bel/Makefile +++ b/fuzzers/007-timing/bel/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC SLICEL_SDFS = build/CLBLL_L.sdf build/CLBLL_R.sdf build/CLBLM_L.sdf build/CLBLM_R.sdf SLICEM_SDFS = build/CLBLL_L.sdf build/CLBLL_R.sdf build/CLBLM_L.sdf build/CLBLM_R.sdf diff --git a/fuzzers/007-timing/bel/carry4delays.py b/fuzzers/007-timing/bel/carry4delays.py index a8b78ef4a..c547f745b 100755 --- a/fuzzers/007-timing/bel/carry4delays.py +++ b/fuzzers/007-timing/bel/carry4delays.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import sys, os, argparse from sdf_timing import sdfparse diff --git a/fuzzers/007-timing/bel/fixup_timings_txt.py b/fuzzers/007-timing/bel/fixup_timings_txt.py index 4f1f570f6..83852a3c9 100644 --- a/fuzzers/007-timing/bel/fixup_timings_txt.py +++ b/fuzzers/007-timing/bel/fixup_timings_txt.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import argparse diff --git a/fuzzers/007-timing/bel/runme.sh b/fuzzers/007-timing/bel/runme.sh index 00f889146..780867871 100644 --- a/fuzzers/007-timing/bel/runme.sh +++ b/fuzzers/007-timing/bel/runme.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex diff --git a/fuzzers/007-timing/bel/runme.tcl b/fuzzers/007-timing/bel/runme.tcl index 2d20c3d3a..1c2c7abe8 100644 --- a/fuzzers/007-timing/bel/runme.tcl +++ b/fuzzers/007-timing/bel/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc create_design {} { diff --git a/fuzzers/007-timing/bel/tim2json.py b/fuzzers/007-timing/bel/tim2json.py index ade191791..620ea2afa 100644 --- a/fuzzers/007-timing/bel/tim2json.py +++ b/fuzzers/007-timing/bel/tim2json.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import re import argparse diff --git a/fuzzers/007-timing/routing-bels/Makefile b/fuzzers/007-timing/routing-bels/Makefile index 8cf875de6..d07bd6028 100644 --- a/fuzzers/007-timing/routing-bels/Makefile +++ b/fuzzers/007-timing/routing-bels/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC all: build/slicel.sdf build/slicem.sdf touch build/sdf.ok diff --git a/fuzzers/007-timing/routing-bels/runme.sh b/fuzzers/007-timing/routing-bels/runme.sh index 00f889146..780867871 100644 --- a/fuzzers/007-timing/routing-bels/runme.sh +++ b/fuzzers/007-timing/routing-bels/runme.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex diff --git a/fuzzers/007-timing/routing-bels/runme.tcl b/fuzzers/007-timing/routing-bels/runme.tcl index 8dd33e21a..778108fce 100644 --- a/fuzzers/007-timing/routing-bels/runme.tcl +++ b/fuzzers/007-timing/routing-bels/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc create_design {} { diff --git a/fuzzers/007-timing/routing-bels/tim2sdf.py b/fuzzers/007-timing/routing-bels/tim2sdf.py index dd9e3f19b..216894b6e 100644 --- a/fuzzers/007-timing/routing-bels/tim2sdf.py +++ b/fuzzers/007-timing/routing-bels/tim2sdf.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import argparse import json diff --git a/fuzzers/010-clb-lutinit/Makefile b/fuzzers/010-clb-lutinit/Makefile index e58c49547..c272fb506 100644 --- a/fuzzers/010-clb-lutinit/Makefile +++ b/fuzzers/010-clb-lutinit/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # Not using clb.mk: 0-2 segmatch # Could probably change segmatch to re though diff --git a/fuzzers/010-clb-lutinit/generate.py b/fuzzers/010-clb-lutinit/generate.py index ea6ceae33..f4262fc26 100644 --- a/fuzzers/010-clb-lutinit/generate.py +++ b/fuzzers/010-clb-lutinit/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import sys diff --git a/fuzzers/010-clb-lutinit/generate.sh b/fuzzers/010-clb-lutinit/generate.sh index 2418cba0f..24a905e0c 100644 --- a/fuzzers/010-clb-lutinit/generate.sh +++ b/fuzzers/010-clb-lutinit/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC FUZDIR=$PWD source ${XRAY_GENHEADER} diff --git a/fuzzers/010-clb-lutinit/generate.tcl b/fuzzers/010-clb-lutinit/generate.tcl index 7e531f96c..46731727b 100644 --- a/fuzzers/010-clb-lutinit/generate.tcl +++ b/fuzzers/010-clb-lutinit/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog ../../top.v diff --git a/fuzzers/011-clb-ffconfig/Makefile b/fuzzers/011-clb-ffconfig/Makefile index 273ae54de..59c09ff9e 100644 --- a/fuzzers/011-clb-ffconfig/Makefile +++ b/fuzzers/011-clb-ffconfig/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 1 CLB_DBFIXUP=Y include ../clb.mk diff --git a/fuzzers/011-clb-ffconfig/generate.py b/fuzzers/011-clb-ffconfig/generate.py index 7cb03d0e5..0c6617b34 100644 --- a/fuzzers/011-clb-ffconfig/generate.py +++ b/fuzzers/011-clb-ffconfig/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC ''' FDCE Primitive: D Flip-Flop with Clock Enable and Asynchronous Clear FDPE Primitive: D Flip-Flop with Clock Enable and Asynchronous Preset diff --git a/fuzzers/011-clb-ffconfig/generate.sh b/fuzzers/011-clb-ffconfig/generate.sh index f42f840c1..043fbdde7 100644 --- a/fuzzers/011-clb-ffconfig/generate.sh +++ b/fuzzers/011-clb-ffconfig/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex source ${XRAY_DIR}/utils/top_generate.sh diff --git a/fuzzers/011-clb-ffconfig/generate.tcl b/fuzzers/011-clb-ffconfig/generate.tcl index c5b68d625..c98df8a4b 100644 --- a/fuzzers/011-clb-ffconfig/generate.tcl +++ b/fuzzers/011-clb-ffconfig/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/011-clb-ffconfig/minitest/Makefile b/fuzzers/011-clb-ffconfig/minitest/Makefile index 1d13e215d..2e24ec41a 100644 --- a/fuzzers/011-clb-ffconfig/minitest/Makefile +++ b/fuzzers/011-clb-ffconfig/minitest/Makefile @@ -1 +1,8 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC include ../util/common.mk diff --git a/fuzzers/011-clb-ffconfig/prims.py b/fuzzers/011-clb-ffconfig/prims.py index 11f40c225..9a8fbe81b 100644 --- a/fuzzers/011-clb-ffconfig/prims.py +++ b/fuzzers/011-clb-ffconfig/prims.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC def ones(l): #return l + [x + '_1' for x in l] #return sorted(l + [x + '_1' for x in l]) diff --git a/fuzzers/011-clb-ffconfig/top.py b/fuzzers/011-clb-ffconfig/top.py index e403081a1..8cbd569b1 100644 --- a/fuzzers/011-clb-ffconfig/top.py +++ b/fuzzers/011-clb-ffconfig/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os, random random.seed(0) from prjxray import util diff --git a/fuzzers/012-clb-n5ffmux/Makefile b/fuzzers/012-clb-n5ffmux/Makefile index ccd076457..1d2739090 100644 --- a/fuzzers/012-clb-n5ffmux/Makefile +++ b/fuzzers/012-clb-n5ffmux/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 10 SEGMATCH_ARGS =-m 2 -M 2 -c 1 include ../clb.mk diff --git a/fuzzers/012-clb-n5ffmux/generate.py b/fuzzers/012-clb-n5ffmux/generate.py index aae15bcf6..c51529277 100644 --- a/fuzzers/012-clb-n5ffmux/generate.py +++ b/fuzzers/012-clb-n5ffmux/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray.segmaker import Segmaker diff --git a/fuzzers/012-clb-n5ffmux/generate.sh b/fuzzers/012-clb-n5ffmux/generate.sh index 86af6c5d5..bfda796bc 100644 --- a/fuzzers/012-clb-n5ffmux/generate.sh +++ b/fuzzers/012-clb-n5ffmux/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex if [ $(${XRAY_VIVADO} -h |grep Vivado |cut -d\ -f 2) != "v2017.2" ] ; then echo "FIXME: requires Vivado 2017.2. See https://github.com/SymbiFlow/prjxray/issues/14"; exit 1; fi diff --git a/fuzzers/012-clb-n5ffmux/generate.tcl b/fuzzers/012-clb-n5ffmux/generate.tcl index dd10ec60b..79425b3f5 100644 --- a/fuzzers/012-clb-n5ffmux/generate.tcl +++ b/fuzzers/012-clb-n5ffmux/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/012-clb-n5ffmux/minitest/Makefile b/fuzzers/012-clb-n5ffmux/minitest/Makefile index 1d13e215d..2e24ec41a 100644 --- a/fuzzers/012-clb-n5ffmux/minitest/Makefile +++ b/fuzzers/012-clb-n5ffmux/minitest/Makefile @@ -1 +1,8 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC include ../util/common.mk diff --git a/fuzzers/012-clb-n5ffmux/top.py b/fuzzers/012-clb-n5ffmux/top.py index a2be2d3dd..40f313be0 100644 --- a/fuzzers/012-clb-n5ffmux/top.py +++ b/fuzzers/012-clb-n5ffmux/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os, random random.seed(0) from prjxray import util diff --git a/fuzzers/013-clb-ncy0/Makefile b/fuzzers/013-clb-ncy0/Makefile index f5f5a6317..76cd0b3b6 100644 --- a/fuzzers/013-clb-ncy0/Makefile +++ b/fuzzers/013-clb-ncy0/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 1 include ../clb.mk diff --git a/fuzzers/013-clb-ncy0/generate.py b/fuzzers/013-clb-ncy0/generate.py index d1124ba59..0e5b6fd6a 100644 --- a/fuzzers/013-clb-ncy0/generate.py +++ b/fuzzers/013-clb-ncy0/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray.segmaker import Segmaker diff --git a/fuzzers/013-clb-ncy0/generate.sh b/fuzzers/013-clb-ncy0/generate.sh index f42f840c1..043fbdde7 100644 --- a/fuzzers/013-clb-ncy0/generate.sh +++ b/fuzzers/013-clb-ncy0/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex source ${XRAY_DIR}/utils/top_generate.sh diff --git a/fuzzers/013-clb-ncy0/generate.tcl b/fuzzers/013-clb-ncy0/generate.tcl index dd10ec60b..79425b3f5 100644 --- a/fuzzers/013-clb-ncy0/generate.tcl +++ b/fuzzers/013-clb-ncy0/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/013-clb-ncy0/minitest/Makefile b/fuzzers/013-clb-ncy0/minitest/Makefile index 1d13e215d..2e24ec41a 100644 --- a/fuzzers/013-clb-ncy0/minitest/Makefile +++ b/fuzzers/013-clb-ncy0/minitest/Makefile @@ -1 +1,8 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC include ../util/common.mk diff --git a/fuzzers/013-clb-ncy0/top.py b/fuzzers/013-clb-ncy0/top.py index 65949a7f0..80406feb1 100644 --- a/fuzzers/013-clb-ncy0/top.py +++ b/fuzzers/013-clb-ncy0/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os, random random.seed(0) from prjxray import util diff --git a/fuzzers/014-clb-ffsrcemux/Makefile b/fuzzers/014-clb-ffsrcemux/Makefile index f5f5a6317..76cd0b3b6 100644 --- a/fuzzers/014-clb-ffsrcemux/Makefile +++ b/fuzzers/014-clb-ffsrcemux/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 1 include ../clb.mk diff --git a/fuzzers/014-clb-ffsrcemux/generate.py b/fuzzers/014-clb-ffsrcemux/generate.py index a936f8a89..fab926fb8 100644 --- a/fuzzers/014-clb-ffsrcemux/generate.py +++ b/fuzzers/014-clb-ffsrcemux/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray.segmaker import Segmaker diff --git a/fuzzers/014-clb-ffsrcemux/generate.sh b/fuzzers/014-clb-ffsrcemux/generate.sh index f42f840c1..043fbdde7 100644 --- a/fuzzers/014-clb-ffsrcemux/generate.sh +++ b/fuzzers/014-clb-ffsrcemux/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex source ${XRAY_DIR}/utils/top_generate.sh diff --git a/fuzzers/014-clb-ffsrcemux/generate.tcl b/fuzzers/014-clb-ffsrcemux/generate.tcl index b98d2204a..20c0456e5 100644 --- a/fuzzers/014-clb-ffsrcemux/generate.tcl +++ b/fuzzers/014-clb-ffsrcemux/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/014-clb-ffsrcemux/top.py b/fuzzers/014-clb-ffsrcemux/top.py index e01126293..4040e71c1 100644 --- a/fuzzers/014-clb-ffsrcemux/top.py +++ b/fuzzers/014-clb-ffsrcemux/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import random random.seed(0) import os diff --git a/fuzzers/015-clb-nffmux/Makefile b/fuzzers/015-clb-nffmux/Makefile index 6980f1f44..e9d070a20 100644 --- a/fuzzers/015-clb-nffmux/Makefile +++ b/fuzzers/015-clb-nffmux/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # Specimen count N := 5 diff --git a/fuzzers/015-clb-nffmux/generate.py b/fuzzers/015-clb-nffmux/generate.py index 98b442e97..e90a561ad 100644 --- a/fuzzers/015-clb-nffmux/generate.py +++ b/fuzzers/015-clb-nffmux/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray.segmaker import Segmaker from prjxray import util diff --git a/fuzzers/015-clb-nffmux/generate.sh b/fuzzers/015-clb-nffmux/generate.sh index 86af6c5d5..bfda796bc 100644 --- a/fuzzers/015-clb-nffmux/generate.sh +++ b/fuzzers/015-clb-nffmux/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex if [ $(${XRAY_VIVADO} -h |grep Vivado |cut -d\ -f 2) != "v2017.2" ] ; then echo "FIXME: requires Vivado 2017.2. See https://github.com/SymbiFlow/prjxray/issues/14"; exit 1; fi diff --git a/fuzzers/015-clb-nffmux/generate.tcl b/fuzzers/015-clb-nffmux/generate.tcl index dd10ec60b..79425b3f5 100644 --- a/fuzzers/015-clb-nffmux/generate.tcl +++ b/fuzzers/015-clb-nffmux/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/015-clb-nffmux/minitest/Makefile b/fuzzers/015-clb-nffmux/minitest/Makefile index 1d13e215d..2e24ec41a 100644 --- a/fuzzers/015-clb-nffmux/minitest/Makefile +++ b/fuzzers/015-clb-nffmux/minitest/Makefile @@ -1 +1,8 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC include ../util/common.mk diff --git a/fuzzers/015-clb-nffmux/top.py b/fuzzers/015-clb-nffmux/top.py index 776baf41c..777b54800 100644 --- a/fuzzers/015-clb-nffmux/top.py +++ b/fuzzers/015-clb-nffmux/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os, random random.seed(0) from prjxray import util diff --git a/fuzzers/016-clb-noutmux/Makefile b/fuzzers/016-clb-noutmux/Makefile index c5cce725d..eaccfb0d8 100644 --- a/fuzzers/016-clb-noutmux/Makefile +++ b/fuzzers/016-clb-noutmux/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # Specimen count N := 5 diff --git a/fuzzers/016-clb-noutmux/generate.py b/fuzzers/016-clb-noutmux/generate.py index 07ad37160..62252fe50 100644 --- a/fuzzers/016-clb-noutmux/generate.py +++ b/fuzzers/016-clb-noutmux/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray.segmaker import Segmaker from prjxray import util diff --git a/fuzzers/016-clb-noutmux/generate.sh b/fuzzers/016-clb-noutmux/generate.sh index 86af6c5d5..bfda796bc 100644 --- a/fuzzers/016-clb-noutmux/generate.sh +++ b/fuzzers/016-clb-noutmux/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex if [ $(${XRAY_VIVADO} -h |grep Vivado |cut -d\ -f 2) != "v2017.2" ] ; then echo "FIXME: requires Vivado 2017.2. See https://github.com/SymbiFlow/prjxray/issues/14"; exit 1; fi diff --git a/fuzzers/016-clb-noutmux/generate.tcl b/fuzzers/016-clb-noutmux/generate.tcl index dd10ec60b..79425b3f5 100644 --- a/fuzzers/016-clb-noutmux/generate.tcl +++ b/fuzzers/016-clb-noutmux/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/016-clb-noutmux/minitest/Makefile b/fuzzers/016-clb-noutmux/minitest/Makefile index 6fcdd876c..6d6ef7ba6 100644 --- a/fuzzers/016-clb-noutmux/minitest/Makefile +++ b/fuzzers/016-clb-noutmux/minitest/Makefile @@ -1 +1,8 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC include $(XRAY_DIR)/minitests/util/common.mk diff --git a/fuzzers/016-clb-noutmux/top.py b/fuzzers/016-clb-noutmux/top.py index dd5595543..f6f33a8fd 100644 --- a/fuzzers/016-clb-noutmux/top.py +++ b/fuzzers/016-clb-noutmux/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os, random random.seed(0) from prjxray import util diff --git a/fuzzers/017-clb-precyinit/Makefile b/fuzzers/017-clb-precyinit/Makefile index 273ae54de..59c09ff9e 100644 --- a/fuzzers/017-clb-precyinit/Makefile +++ b/fuzzers/017-clb-precyinit/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 1 CLB_DBFIXUP=Y include ../clb.mk diff --git a/fuzzers/017-clb-precyinit/generate.py b/fuzzers/017-clb-precyinit/generate.py index cf1a9b340..813ef7034 100644 --- a/fuzzers/017-clb-precyinit/generate.py +++ b/fuzzers/017-clb-precyinit/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray.segmaker import Segmaker diff --git a/fuzzers/017-clb-precyinit/generate.sh b/fuzzers/017-clb-precyinit/generate.sh index f42f840c1..043fbdde7 100644 --- a/fuzzers/017-clb-precyinit/generate.sh +++ b/fuzzers/017-clb-precyinit/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex source ${XRAY_DIR}/utils/top_generate.sh diff --git a/fuzzers/017-clb-precyinit/generate.tcl b/fuzzers/017-clb-precyinit/generate.tcl index dd10ec60b..79425b3f5 100644 --- a/fuzzers/017-clb-precyinit/generate.tcl +++ b/fuzzers/017-clb-precyinit/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/017-clb-precyinit/top.py b/fuzzers/017-clb-precyinit/top.py index 2edb311c4..3e04a01fb 100644 --- a/fuzzers/017-clb-precyinit/top.py +++ b/fuzzers/017-clb-precyinit/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import random random.seed(0) import os diff --git a/fuzzers/018-clb-ram/Makefile b/fuzzers/018-clb-ram/Makefile index d28d84475..42ecfe749 100644 --- a/fuzzers/018-clb-ram/Makefile +++ b/fuzzers/018-clb-ram/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 4 SLICEL ?= N include ../clb.mk diff --git a/fuzzers/018-clb-ram/generate.py b/fuzzers/018-clb-ram/generate.py index 6f284140d..20c79afe8 100644 --- a/fuzzers/018-clb-ram/generate.py +++ b/fuzzers/018-clb-ram/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray.segmaker import Segmaker diff --git a/fuzzers/018-clb-ram/generate.sh b/fuzzers/018-clb-ram/generate.sh index f42f840c1..043fbdde7 100644 --- a/fuzzers/018-clb-ram/generate.sh +++ b/fuzzers/018-clb-ram/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex source ${XRAY_DIR}/utils/top_generate.sh diff --git a/fuzzers/018-clb-ram/generate.tcl b/fuzzers/018-clb-ram/generate.tcl index 42bc88a62..fc0308ead 100644 --- a/fuzzers/018-clb-ram/generate.tcl +++ b/fuzzers/018-clb-ram/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc build {} { create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/fuzzers/018-clb-ram/minitest/Makefile b/fuzzers/018-clb-ram/minitest/Makefile index 1d13e215d..2e24ec41a 100644 --- a/fuzzers/018-clb-ram/minitest/Makefile +++ b/fuzzers/018-clb-ram/minitest/Makefile @@ -1 +1,8 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC include ../util/common.mk diff --git a/fuzzers/018-clb-ram/top.py b/fuzzers/018-clb-ram/top.py index c96db4d79..755b7d39e 100644 --- a/fuzzers/018-clb-ram/top.py +++ b/fuzzers/018-clb-ram/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC ''' Need coverage for the following: RAM32X1S_N diff --git a/fuzzers/019-clb-ndi1mux/Makefile b/fuzzers/019-clb-ndi1mux/Makefile index 79723295d..a27ec856e 100644 --- a/fuzzers/019-clb-ndi1mux/Makefile +++ b/fuzzers/019-clb-ndi1mux/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 3 SLICEL ?= N CLB_DBFIXUP=Y diff --git a/fuzzers/019-clb-ndi1mux/generate.py b/fuzzers/019-clb-ndi1mux/generate.py index e0ae1d2ef..8845995bd 100644 --- a/fuzzers/019-clb-ndi1mux/generate.py +++ b/fuzzers/019-clb-ndi1mux/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # FIXME: getting two bits # 00_40 31_46 diff --git a/fuzzers/019-clb-ndi1mux/generate.sh b/fuzzers/019-clb-ndi1mux/generate.sh index f42f840c1..043fbdde7 100644 --- a/fuzzers/019-clb-ndi1mux/generate.sh +++ b/fuzzers/019-clb-ndi1mux/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex source ${XRAY_DIR}/utils/top_generate.sh diff --git a/fuzzers/019-clb-ndi1mux/generate.tcl b/fuzzers/019-clb-ndi1mux/generate.tcl index dd10ec60b..79425b3f5 100644 --- a/fuzzers/019-clb-ndi1mux/generate.tcl +++ b/fuzzers/019-clb-ndi1mux/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/019-clb-ndi1mux/minitest/Makefile b/fuzzers/019-clb-ndi1mux/minitest/Makefile index 1d13e215d..2e24ec41a 100644 --- a/fuzzers/019-clb-ndi1mux/minitest/Makefile +++ b/fuzzers/019-clb-ndi1mux/minitest/Makefile @@ -1 +1,8 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC include ../util/common.mk diff --git a/fuzzers/019-clb-ndi1mux/top.py b/fuzzers/019-clb-ndi1mux/top.py index f9ce52240..30b9c386c 100644 --- a/fuzzers/019-clb-ndi1mux/top.py +++ b/fuzzers/019-clb-ndi1mux/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os, random random.seed(0) from prjxray import util diff --git a/fuzzers/025-bram-config/Makefile b/fuzzers/025-bram-config/Makefile index fec76d61c..4362730ff 100644 --- a/fuzzers/025-bram-config/Makefile +++ b/fuzzers/025-bram-config/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # read/write width is relatively slow to resolve # Even slower with multi bit masks... N ?= 15 diff --git a/fuzzers/025-bram-config/generate.py b/fuzzers/025-bram-config/generate.py index dc5f43fbc..9eddc6c4b 100644 --- a/fuzzers/025-bram-config/generate.py +++ b/fuzzers/025-bram-config/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json import csv diff --git a/fuzzers/025-bram-config/generate.tcl b/fuzzers/025-bram-config/generate.tcl index ddc0fba2f..ef8cd739b 100644 --- a/fuzzers/025-bram-config/generate.tcl +++ b/fuzzers/025-bram-config/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/025-bram-config/minitest/Makefile b/fuzzers/025-bram-config/minitest/Makefile index 83cf4a70d..02b8beed6 100644 --- a/fuzzers/025-bram-config/minitest/Makefile +++ b/fuzzers/025-bram-config/minitest/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # WARNING: probably don't do more than -j 2 # diff.mk can overlap in a few cases diff --git a/fuzzers/025-bram-config/minitest/env.sh b/fuzzers/025-bram-config/minitest/env.sh index 47adfb4a0..1dc6d1be1 100644 --- a/fuzzers/025-bram-config/minitest/env.sh +++ b/fuzzers/025-bram-config/minitest/env.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export XRAY_ROI=SLICE_X6Y100:SLICE_X27Y149 export XRAY_ROI_FRAMES=0x00820000:0x00820080 diff --git a/fuzzers/025-bram-config/minitest/runme.sh b/fuzzers/025-bram-config/minitest/runme.sh index e552f4e08..ee566b6b4 100644 --- a/fuzzers/025-bram-config/minitest/runme.sh +++ b/fuzzers/025-bram-config/minitest/runme.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex diff --git a/fuzzers/025-bram-config/minitest/runme.tcl b/fuzzers/025-bram-config/minitest/runme.tcl index 4463822b3..957aaf0e6 100644 --- a/fuzzers/025-bram-config/minitest/runme.tcl +++ b/fuzzers/025-bram-config/minitest/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design #read_verilog $::env(SRC_DIR)/$::env(PROJECT).v read_verilog $::env(TOP_V) diff --git a/fuzzers/025-bram-config/top.py b/fuzzers/025-bram-config/top.py index 4e4a0f284..8674d0b43 100644 --- a/fuzzers/025-bram-config/top.py +++ b/fuzzers/025-bram-config/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random random.seed(int(os.getenv("SEED"), 16)) diff --git a/fuzzers/026-bram-data/Makefile b/fuzzers/026-bram-data/Makefile index 098f390d3..9143bda20 100644 --- a/fuzzers/026-bram-data/Makefile +++ b/fuzzers/026-bram-data/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 8 include ../fuzzer.mk diff --git a/fuzzers/026-bram-data/generate.py b/fuzzers/026-bram-data/generate.py index 7f3afa55f..16a6c9920 100644 --- a/fuzzers/026-bram-data/generate.py +++ b/fuzzers/026-bram-data/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json from prjxray.segmaker import Segmaker diff --git a/fuzzers/026-bram-data/generate.sh b/fuzzers/026-bram-data/generate.sh index 292674b28..4e8989a51 100644 --- a/fuzzers/026-bram-data/generate.sh +++ b/fuzzers/026-bram-data/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex diff --git a/fuzzers/026-bram-data/generate.tcl b/fuzzers/026-bram-data/generate.tcl index 44fcac08a..f1dd581c6 100644 --- a/fuzzers/026-bram-data/generate.tcl +++ b/fuzzers/026-bram-data/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/026-bram-data/minitest/Makefile b/fuzzers/026-bram-data/minitest/Makefile index 0d09d4603..fdb17fd39 100644 --- a/fuzzers/026-bram-data/minitest/Makefile +++ b/fuzzers/026-bram-data/minitest/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # WARNING: probably don't do more than -j 2 # diff.mk can overlap in a few cases diff --git a/fuzzers/026-bram-data/minitest/runme.sh b/fuzzers/026-bram-data/minitest/runme.sh index e552f4e08..ee566b6b4 100644 --- a/fuzzers/026-bram-data/minitest/runme.sh +++ b/fuzzers/026-bram-data/minitest/runme.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex diff --git a/fuzzers/026-bram-data/minitest/runme.tcl b/fuzzers/026-bram-data/minitest/runme.tcl index 4463822b3..957aaf0e6 100644 --- a/fuzzers/026-bram-data/minitest/runme.tcl +++ b/fuzzers/026-bram-data/minitest/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design #read_verilog $::env(SRC_DIR)/$::env(PROJECT).v read_verilog $::env(TOP_V) diff --git a/fuzzers/026-bram-data/top.py b/fuzzers/026-bram-data/top.py index 716f6c469..37809eb67 100644 --- a/fuzzers/026-bram-data/top.py +++ b/fuzzers/026-bram-data/top.py @@ -1,4 +1,12 @@ -#!/usr/bin/env python +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import json diff --git a/fuzzers/027-bram36-config/Makefile b/fuzzers/027-bram36-config/Makefile index 1449c1542..71b797a9a 100644 --- a/fuzzers/027-bram36-config/Makefile +++ b/fuzzers/027-bram36-config/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # read/write width is relatively slow to resolve # Even slower with multi bit masks... N ?= 2 diff --git a/fuzzers/027-bram36-config/generate.py b/fuzzers/027-bram36-config/generate.py index fb76f1304..6628dd0ac 100644 --- a/fuzzers/027-bram36-config/generate.py +++ b/fuzzers/027-bram36-config/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json diff --git a/fuzzers/027-bram36-config/generate.tcl b/fuzzers/027-bram36-config/generate.tcl index ae41001fd..8df506a76 100644 --- a/fuzzers/027-bram36-config/generate.tcl +++ b/fuzzers/027-bram36-config/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc run {} { create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/fuzzers/027-bram36-config/top.py b/fuzzers/027-bram36-config/top.py index 32d9cdc74..1dad2d5f9 100644 --- a/fuzzers/027-bram36-config/top.py +++ b/fuzzers/027-bram36-config/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random import json diff --git a/fuzzers/028-fifo-config/Makefile b/fuzzers/028-fifo-config/Makefile index a3368ba51..47b23841c 100644 --- a/fuzzers/028-fifo-config/Makefile +++ b/fuzzers/028-fifo-config/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 5 include ../fuzzer.mk diff --git a/fuzzers/028-fifo-config/generate.py b/fuzzers/028-fifo-config/generate.py index 4b386ceda..b46fac3f3 100644 --- a/fuzzers/028-fifo-config/generate.py +++ b/fuzzers/028-fifo-config/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json diff --git a/fuzzers/028-fifo-config/generate.tcl b/fuzzers/028-fifo-config/generate.tcl index 0a07fd147..613b0067b 100644 --- a/fuzzers/028-fifo-config/generate.tcl +++ b/fuzzers/028-fifo-config/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc run {} { create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/fuzzers/028-fifo-config/top.py b/fuzzers/028-fifo-config/top.py index dab705f87..faaa224a4 100644 --- a/fuzzers/028-fifo-config/top.py +++ b/fuzzers/028-fifo-config/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json import math import os diff --git a/fuzzers/029-bram-fifo-config/Makefile b/fuzzers/029-bram-fifo-config/Makefile index 4ccab1ded..b88a838af 100644 --- a/fuzzers/029-bram-fifo-config/Makefile +++ b/fuzzers/029-bram-fifo-config/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 20 include ../fuzzer.mk diff --git a/fuzzers/029-bram-fifo-config/generate.py b/fuzzers/029-bram-fifo-config/generate.py index c805a1f39..3ff569d63 100644 --- a/fuzzers/029-bram-fifo-config/generate.py +++ b/fuzzers/029-bram-fifo-config/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json diff --git a/fuzzers/029-bram-fifo-config/generate.tcl b/fuzzers/029-bram-fifo-config/generate.tcl index 3044e1003..60c93bb0c 100644 --- a/fuzzers/029-bram-fifo-config/generate.tcl +++ b/fuzzers/029-bram-fifo-config/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc run {} { create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/fuzzers/029-bram-fifo-config/top.py b/fuzzers/029-bram-fifo-config/top.py index fed507e4f..5f3dfd900 100644 --- a/fuzzers/029-bram-fifo-config/top.py +++ b/fuzzers/029-bram-fifo-config/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json import os import random diff --git a/fuzzers/030-iob/Makefile b/fuzzers/030-iob/Makefile index 72e260070..cc889e043 100644 --- a/fuzzers/030-iob/Makefile +++ b/fuzzers/030-iob/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 50 SPECIMENS_DEPS := build/iobanks.txt include ../fuzzer.mk diff --git a/fuzzers/030-iob/check_results.py b/fuzzers/030-iob/check_results.py index 0a60c68fc..8b366a592 100644 --- a/fuzzers/030-iob/check_results.py +++ b/fuzzers/030-iob/check_results.py @@ -1,5 +1,14 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ Sanity checks FASM output from IOB fuzzer. - The IOB fuzzer is fairly complicated, and it's output is hard to verify by inspected. For this reason, check_results.py was written to compare the specimen's generated and their FASM output. The FASM output does pose a diff --git a/fuzzers/030-iob/generate.py b/fuzzers/030-iob/generate.py index e6d120deb..230adac7d 100644 --- a/fuzzers/030-iob/generate.py +++ b/fuzzers/030-iob/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray.segmaker import Segmaker from prjxray import segmaker diff --git a/fuzzers/030-iob/generate.tcl b/fuzzers/030-iob/generate.tcl index 7d1c18d59..df9f94ba0 100644 --- a/fuzzers/030-iob/generate.tcl +++ b/fuzzers/030-iob/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc make_io_pin_sites {} { diff --git a/fuzzers/030-iob/minitest/DRIVE.tcl b/fuzzers/030-iob/minitest/DRIVE.tcl index 2666dc664..a4fa2d1e3 100644 --- a/fuzzers/030-iob/minitest/DRIVE.tcl +++ b/fuzzers/030-iob/minitest/DRIVE.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(SRC_DIR)/template.tcl" # set vals "0 4 8 12 16 24" diff --git a/fuzzers/030-iob/minitest/IOSTANDARD.tcl b/fuzzers/030-iob/minitest/IOSTANDARD.tcl index e347303be..a054db6aa 100644 --- a/fuzzers/030-iob/minitest/IOSTANDARD.tcl +++ b/fuzzers/030-iob/minitest/IOSTANDARD.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(SRC_DIR)/template.tcl" set prop IOSTANDARD diff --git a/fuzzers/030-iob/minitest/Makefile b/fuzzers/030-iob/minitest/Makefile index 5b08dd785..954b62926 100644 --- a/fuzzers/030-iob/minitest/Makefile +++ b/fuzzers/030-iob/minitest/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC all: build/env build/roi_roi_io.diff \ build/PULLTYPE/run.ok \ build/SLEW/run.ok \ diff --git a/fuzzers/030-iob/minitest/PULLTYPE.tcl b/fuzzers/030-iob/minitest/PULLTYPE.tcl index a6c2113e8..28bf90c12 100644 --- a/fuzzers/030-iob/minitest/PULLTYPE.tcl +++ b/fuzzers/030-iob/minitest/PULLTYPE.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(SRC_DIR)/template.tcl" set port [get_ports di] diff --git a/fuzzers/030-iob/minitest/SLEW.tcl b/fuzzers/030-iob/minitest/SLEW.tcl index 3384a3126..3c6cc17e2 100644 --- a/fuzzers/030-iob/minitest/SLEW.tcl +++ b/fuzzers/030-iob/minitest/SLEW.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(SRC_DIR)/template.tcl" # set vals "SLOW MEDIUM FAST" diff --git a/fuzzers/030-iob/minitest/compare.py b/fuzzers/030-iob/minitest/compare.py index 9c7a24d06..df7ff1f12 100644 --- a/fuzzers/030-iob/minitest/compare.py +++ b/fuzzers/030-iob/minitest/compare.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import sys import glob import os diff --git a/fuzzers/030-iob/minitest/generate.tcl b/fuzzers/030-iob/minitest/generate.tcl index a20e22634..69f9f5dd9 100644 --- a/fuzzers/030-iob/minitest/generate.tcl +++ b/fuzzers/030-iob/minitest/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc make_io_pin_sites {} { diff --git a/fuzzers/030-iob/minitest/runme.sh b/fuzzers/030-iob/minitest/runme.sh index 06bf16110..07863d00f 100644 --- a/fuzzers/030-iob/minitest/runme.sh +++ b/fuzzers/030-iob/minitest/runme.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex diff --git a/fuzzers/030-iob/minitest/runme.tcl b/fuzzers/030-iob/minitest/runme.tcl index 59240ab38..6ce1d1989 100644 --- a/fuzzers/030-iob/minitest/runme.tcl +++ b/fuzzers/030-iob/minitest/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design #read_verilog $::env(SRC_DIR)/$::env(PROJECT).v read_verilog $::env(TOP_V) diff --git a/fuzzers/030-iob/minitest/runme_tcl.sh b/fuzzers/030-iob/minitest/runme_tcl.sh index 5e35950e3..979cbb680 100644 --- a/fuzzers/030-iob/minitest/runme_tcl.sh +++ b/fuzzers/030-iob/minitest/runme_tcl.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex diff --git a/fuzzers/030-iob/minitest/sweep.tcl b/fuzzers/030-iob/minitest/sweep.tcl index b64251e65..8946be154 100644 --- a/fuzzers/030-iob/minitest/sweep.tcl +++ b/fuzzers/030-iob/minitest/sweep.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # Sweep all values of $prop on given I/O $port # Write out bitstream for all legal values diff --git a/fuzzers/030-iob/minitest/template.tcl b/fuzzers/030-iob/minitest/template.tcl index ac13861ef..24ffeaebe 100644 --- a/fuzzers/030-iob/minitest/template.tcl +++ b/fuzzers/030-iob/minitest/template.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # Create a simple design with a few IOs create_project -force -part $::env(XRAY_PART) design design diff --git a/fuzzers/030-iob/minitest/top.py b/fuzzers/030-iob/minitest/top.py index e9f8a4328..545869586 100644 --- a/fuzzers/030-iob/minitest/top.py +++ b/fuzzers/030-iob/minitest/top.py @@ -1,3 +1,12 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC ''' Generate a primitive to place at every I/O Unlike CLB tests, the LFSR for this is inside the ROI, not driving it diff --git a/fuzzers/030-iob/process_rdb.py b/fuzzers/030-iob/process_rdb.py index 929bc7a1e..37832db13 100644 --- a/fuzzers/030-iob/process_rdb.py +++ b/fuzzers/030-iob/process_rdb.py @@ -1,5 +1,14 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ IOB bits are more complicated than can be easily expressed to segmaker. - There are couple cases that need to be handled here: - There are some bits that are always set for IN-only ports, but are cleared diff --git a/fuzzers/030-iob/top.py b/fuzzers/030-iob/top.py index d0b5726c2..2871a72a6 100644 --- a/fuzzers/030-iob/top.py +++ b/fuzzers/030-iob/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json import io import os diff --git a/fuzzers/030-iob/write_io_banks.tcl b/fuzzers/030-iob/write_io_banks.tcl index 190271b3d..9ba176f60 100644 --- a/fuzzers/030-iob/write_io_banks.tcl +++ b/fuzzers/030-iob/write_io_banks.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/031-cmt-mmcm/Makefile b/fuzzers/031-cmt-mmcm/Makefile index 20c2c7499..c3c3ded6d 100644 --- a/fuzzers/031-cmt-mmcm/Makefile +++ b/fuzzers/031-cmt-mmcm/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # read/write width is relatively slow to resolve # Even slower with multi bit masks... N := 14 diff --git a/fuzzers/031-cmt-mmcm/generate.py b/fuzzers/031-cmt-mmcm/generate.py index bff8daa14..fa4edee60 100644 --- a/fuzzers/031-cmt-mmcm/generate.py +++ b/fuzzers/031-cmt-mmcm/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json diff --git a/fuzzers/031-cmt-mmcm/generate.sh b/fuzzers/031-cmt-mmcm/generate.sh index f42f840c1..043fbdde7 100644 --- a/fuzzers/031-cmt-mmcm/generate.sh +++ b/fuzzers/031-cmt-mmcm/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex source ${XRAY_DIR}/utils/top_generate.sh diff --git a/fuzzers/031-cmt-mmcm/generate.tcl b/fuzzers/031-cmt-mmcm/generate.tcl index b3e18603c..c0508a0a8 100644 --- a/fuzzers/031-cmt-mmcm/generate.tcl +++ b/fuzzers/031-cmt-mmcm/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/031-cmt-mmcm/top.py b/fuzzers/031-cmt-mmcm/top.py index 424827ca8..a49657c3c 100644 --- a/fuzzers/031-cmt-mmcm/top.py +++ b/fuzzers/031-cmt-mmcm/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random random.seed(int(os.getenv("SEED"), 16)) diff --git a/fuzzers/032-cmt-pll/Makefile b/fuzzers/032-cmt-pll/Makefile index 0be457cf6..7222e27cc 100644 --- a/fuzzers/032-cmt-pll/Makefile +++ b/fuzzers/032-cmt-pll/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 50 include ../fuzzer.mk diff --git a/fuzzers/032-cmt-pll/generate.py b/fuzzers/032-cmt-pll/generate.py index 1d428dfb9..3058fbe92 100644 --- a/fuzzers/032-cmt-pll/generate.py +++ b/fuzzers/032-cmt-pll/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json diff --git a/fuzzers/032-cmt-pll/generate.sh b/fuzzers/032-cmt-pll/generate.sh index f42f840c1..043fbdde7 100644 --- a/fuzzers/032-cmt-pll/generate.sh +++ b/fuzzers/032-cmt-pll/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex source ${XRAY_DIR}/utils/top_generate.sh diff --git a/fuzzers/032-cmt-pll/generate.tcl b/fuzzers/032-cmt-pll/generate.tcl index cfc2f3f7a..44735bab7 100644 --- a/fuzzers/032-cmt-pll/generate.tcl +++ b/fuzzers/032-cmt-pll/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc make_manual_routes {filename} { diff --git a/fuzzers/032-cmt-pll/top.py b/fuzzers/032-cmt-pll/top.py index 1e9de0838..ed25ace23 100644 --- a/fuzzers/032-cmt-pll/top.py +++ b/fuzzers/032-cmt-pll/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random random.seed(int(os.getenv("SEED"), 16)) diff --git a/fuzzers/032-cmt-pll/write_pll_reg.py b/fuzzers/032-cmt-pll/write_pll_reg.py index 439e29313..749a84db3 100644 --- a/fuzzers/032-cmt-pll/write_pll_reg.py +++ b/fuzzers/032-cmt-pll/write_pll_reg.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import argparse REGISTER_LAYOUT = { diff --git a/fuzzers/033-mon-xadc/Makefile b/fuzzers/033-mon-xadc/Makefile index c42d95e01..bbbb55edb 100644 --- a/fuzzers/033-mon-xadc/Makefile +++ b/fuzzers/033-mon-xadc/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # read/write width is relatively slow to resolve # Even slower with multi bit masks... N := 20 diff --git a/fuzzers/033-mon-xadc/generate.py b/fuzzers/033-mon-xadc/generate.py index 2c60aec50..2b9392ac7 100644 --- a/fuzzers/033-mon-xadc/generate.py +++ b/fuzzers/033-mon-xadc/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json diff --git a/fuzzers/033-mon-xadc/generate.sh b/fuzzers/033-mon-xadc/generate.sh index f42f840c1..043fbdde7 100644 --- a/fuzzers/033-mon-xadc/generate.sh +++ b/fuzzers/033-mon-xadc/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex source ${XRAY_DIR}/utils/top_generate.sh diff --git a/fuzzers/033-mon-xadc/generate.tcl b/fuzzers/033-mon-xadc/generate.tcl index b3e18603c..c0508a0a8 100644 --- a/fuzzers/033-mon-xadc/generate.tcl +++ b/fuzzers/033-mon-xadc/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/033-mon-xadc/top.py b/fuzzers/033-mon-xadc/top.py index eea96280a..8567d03cb 100644 --- a/fuzzers/033-mon-xadc/top.py +++ b/fuzzers/033-mon-xadc/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random random.seed(int(os.getenv("SEED"), 16)) diff --git a/fuzzers/034-cmt-pll-pips/Makefile b/fuzzers/034-cmt-pll-pips/Makefile index 6dca230b2..51691ba61 100644 --- a/fuzzers/034-cmt-pll-pips/Makefile +++ b/fuzzers/034-cmt-pll-pips/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export FUZDIR=$(shell pwd) PIP_TYPE?=cmt_top PIPLIST_TCL=$(FUZDIR)/cmt_top_upper_t.tcl diff --git a/fuzzers/034-cmt-pll-pips/cmt_top_upper_t.tcl b/fuzzers/034-cmt-pll-pips/cmt_top_upper_t.tcl index 26a4d368c..1f2f2e87f 100644 --- a/fuzzers/034-cmt-pll-pips/cmt_top_upper_t.tcl +++ b/fuzzers/034-cmt-pll-pips/cmt_top_upper_t.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc print_tile_pips {tile_type filename} { set tile [lindex [get_tiles -filter "TYPE == $tile_type"] 0] puts "Dumping PIPs for tile $tile ($tile_type) to $filename." diff --git a/fuzzers/034-cmt-pll-pips/fixup_and_group.py b/fuzzers/034-cmt-pll-pips/fixup_and_group.py index eae668c31..80099e1a1 100755 --- a/fuzzers/034-cmt-pll-pips/fixup_and_group.py +++ b/fuzzers/034-cmt-pll-pips/fixup_and_group.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ This is a db fixup script that does two things: diff --git a/fuzzers/034-cmt-pll-pips/generate.py b/fuzzers/034-cmt-pll-pips/generate.py index 3068eb660..8438613f4 100644 --- a/fuzzers/034-cmt-pll-pips/generate.py +++ b/fuzzers/034-cmt-pll-pips/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray.segmaker import Segmaker import os diff --git a/fuzzers/034-cmt-pll-pips/generate.tcl b/fuzzers/034-cmt-pll-pips/generate.tcl index 19be7bd4f..0175b65d5 100644 --- a/fuzzers/034-cmt-pll-pips/generate.tcl +++ b/fuzzers/034-cmt-pll-pips/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc write_pip_txtdata {filename} { diff --git a/fuzzers/034-cmt-pll-pips/top.py b/fuzzers/034-cmt-pll-pips/top.py index 25abe1e63..9db285980 100644 --- a/fuzzers/034-cmt-pll-pips/top.py +++ b/fuzzers/034-cmt-pll-pips/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ """ import os import random diff --git a/fuzzers/035-iob-ilogic/Makefile b/fuzzers/035-iob-ilogic/Makefile index b72691fdc..e0e708a00 100644 --- a/fuzzers/035-iob-ilogic/Makefile +++ b/fuzzers/035-iob-ilogic/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 30 include ../fuzzer.mk diff --git a/fuzzers/035-iob-ilogic/generate.py b/fuzzers/035-iob-ilogic/generate.py index 93b9fc4cc..0c0902d77 100644 --- a/fuzzers/035-iob-ilogic/generate.py +++ b/fuzzers/035-iob-ilogic/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray.segmaker import Segmaker from prjxray import verilog diff --git a/fuzzers/035-iob-ilogic/generate.tcl b/fuzzers/035-iob-ilogic/generate.tcl index 37a015c25..18eaf708c 100644 --- a/fuzzers/035-iob-ilogic/generate.tcl +++ b/fuzzers/035-iob-ilogic/generate.tcl @@ -1,3 +1,1424 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc make_io_pin_sites {} { diff --git a/fuzzers/035-iob-ilogic/top.py b/fuzzers/035-iob-ilogic/top.py index 100760470..56a46c02c 100644 --- a/fuzzers/035-iob-ilogic/top.py +++ b/fuzzers/035-iob-ilogic/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json import io import os diff --git a/fuzzers/035a-iob-idelay/Makefile b/fuzzers/035a-iob-idelay/Makefile index eff1fbfa4..9e8f49346 100644 --- a/fuzzers/035a-iob-idelay/Makefile +++ b/fuzzers/035a-iob-idelay/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 5 include ../fuzzer.mk diff --git a/fuzzers/035a-iob-idelay/generate.py b/fuzzers/035a-iob-idelay/generate.py index 95522642f..b500632f4 100644 --- a/fuzzers/035a-iob-idelay/generate.py +++ b/fuzzers/035a-iob-idelay/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json from prjxray.segmaker import Segmaker, add_site_group_zero diff --git a/fuzzers/035a-iob-idelay/generate.tcl b/fuzzers/035a-iob-idelay/generate.tcl index 15aa2de76..e27613094 100644 --- a/fuzzers/035a-iob-idelay/generate.tcl +++ b/fuzzers/035a-iob-idelay/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top diff --git a/fuzzers/035a-iob-idelay/top.py b/fuzzers/035a-iob-idelay/top.py index cc8ae9517..f4b137bb8 100644 --- a/fuzzers/035a-iob-idelay/top.py +++ b/fuzzers/035a-iob-idelay/top.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os, random random.seed(int(os.getenv("SEED"), 16)) diff --git a/fuzzers/036-iob-ologic/Makefile b/fuzzers/036-iob-ologic/Makefile index 7810a83f3..7bbb000b0 100644 --- a/fuzzers/036-iob-ologic/Makefile +++ b/fuzzers/036-iob-ologic/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 40 include ../fuzzer.mk diff --git a/fuzzers/036-iob-ologic/generate.py b/fuzzers/036-iob-ologic/generate.py index f8f56ec9b..e8afdcd24 100644 --- a/fuzzers/036-iob-ologic/generate.py +++ b/fuzzers/036-iob-ologic/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray.segmaker import Segmaker from prjxray import verilog diff --git a/fuzzers/036-iob-ologic/generate.tcl b/fuzzers/036-iob-ologic/generate.tcl index 9cd70195d..b61e69d83 100644 --- a/fuzzers/036-iob-ologic/generate.tcl +++ b/fuzzers/036-iob-ologic/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc make_io_pin_sites {} { diff --git a/fuzzers/036-iob-ologic/top.py b/fuzzers/036-iob-ologic/top.py index c6fe23130..868e15e1f 100644 --- a/fuzzers/036-iob-ologic/top.py +++ b/fuzzers/036-iob-ologic/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json import io import os diff --git a/fuzzers/037-iob-pips/Makefile b/fuzzers/037-iob-pips/Makefile index 3c77db571..357187842 100644 --- a/fuzzers/037-iob-pips/Makefile +++ b/fuzzers/037-iob-pips/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export FUZDIR=$(shell pwd) PIP_TYPE?=ioi3 PIPLIST_TCL=$(FUZDIR)/ioi3_pip_list.tcl diff --git a/fuzzers/037-iob-pips/generate.py b/fuzzers/037-iob-pips/generate.py index 0eeba0b9f..901ff5438 100644 --- a/fuzzers/037-iob-pips/generate.py +++ b/fuzzers/037-iob-pips/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray.segmaker import Segmaker import os, re diff --git a/fuzzers/037-iob-pips/generate.tcl b/fuzzers/037-iob-pips/generate.tcl index c5f74c591..f8972f380 100644 --- a/fuzzers/037-iob-pips/generate.tcl +++ b/fuzzers/037-iob-pips/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc write_pip_txtdata {filename} { diff --git a/fuzzers/037-iob-pips/ioi3_pip_list.tcl b/fuzzers/037-iob-pips/ioi3_pip_list.tcl index f592f9f68..1a30c24cc 100644 --- a/fuzzers/037-iob-pips/ioi3_pip_list.tcl +++ b/fuzzers/037-iob-pips/ioi3_pip_list.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc print_tile_pips {tile_type filename} { set fp [open $filename w] set pips [dict create] diff --git a/fuzzers/037-iob-pips/output_cmt.tcl b/fuzzers/037-iob-pips/output_cmt.tcl index 663789a5a..6eb154905 100644 --- a/fuzzers/037-iob-pips/output_cmt.tcl +++ b/fuzzers/037-iob-pips/output_cmt.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/037-iob-pips/top.py b/fuzzers/037-iob-pips/top.py index ed5017cdf..f86bc9cc2 100644 --- a/fuzzers/037-iob-pips/top.py +++ b/fuzzers/037-iob-pips/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random import math diff --git a/fuzzers/038-cfg/Makefile b/fuzzers/038-cfg/Makefile index 360ecab30..88103c58e 100644 --- a/fuzzers/038-cfg/Makefile +++ b/fuzzers/038-cfg/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N = 24 include ../fuzzer.mk diff --git a/fuzzers/038-cfg/add_constant_bits.py b/fuzzers/038-cfg/add_constant_bits.py index 4730b30bd..31b7377dd 100644 --- a/fuzzers/038-cfg/add_constant_bits.py +++ b/fuzzers/038-cfg/add_constant_bits.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ Add bits that are considered always on to the db file. diff --git a/fuzzers/038-cfg/generate.py b/fuzzers/038-cfg/generate.py index 2f327b2d9..cef36211b 100644 --- a/fuzzers/038-cfg/generate.py +++ b/fuzzers/038-cfg/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json diff --git a/fuzzers/038-cfg/generate.sh b/fuzzers/038-cfg/generate.sh index f42f840c1..043fbdde7 100644 --- a/fuzzers/038-cfg/generate.sh +++ b/fuzzers/038-cfg/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex source ${XRAY_DIR}/utils/top_generate.sh diff --git a/fuzzers/038-cfg/generate.tcl b/fuzzers/038-cfg/generate.tcl index 79d768e97..f4caaa395 100644 --- a/fuzzers/038-cfg/generate.tcl +++ b/fuzzers/038-cfg/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design #read_verilog $::env(FUZDIR)/top.v read_verilog top.v diff --git a/fuzzers/038-cfg/top.py b/fuzzers/038-cfg/top.py index e8cf4cedb..dd3d92593 100644 --- a/fuzzers/038-cfg/top.py +++ b/fuzzers/038-cfg/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json import io import os diff --git a/fuzzers/039-hclk-config/Makefile b/fuzzers/039-hclk-config/Makefile index a6eec0e6b..ae18a3608 100644 --- a/fuzzers/039-hclk-config/Makefile +++ b/fuzzers/039-hclk-config/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 50 include ../fuzzer.mk diff --git a/fuzzers/039-hclk-config/generate.py b/fuzzers/039-hclk-config/generate.py index 11c8ce02e..eb2e11a8e 100644 --- a/fuzzers/039-hclk-config/generate.py +++ b/fuzzers/039-hclk-config/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json diff --git a/fuzzers/039-hclk-config/generate.tcl b/fuzzers/039-hclk-config/generate.tcl index 3044e1003..60c93bb0c 100644 --- a/fuzzers/039-hclk-config/generate.tcl +++ b/fuzzers/039-hclk-config/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc run {} { create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/fuzzers/039-hclk-config/top.py b/fuzzers/039-hclk-config/top.py index 61d2dc7d3..8a43d3522 100644 --- a/fuzzers/039-hclk-config/top.py +++ b/fuzzers/039-hclk-config/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json import os import random diff --git a/fuzzers/040-clk-hrow-config/Makefile b/fuzzers/040-clk-hrow-config/Makefile index f20a6d84f..4380a223f 100644 --- a/fuzzers/040-clk-hrow-config/Makefile +++ b/fuzzers/040-clk-hrow-config/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 15 include ../fuzzer.mk diff --git a/fuzzers/040-clk-hrow-config/generate.py b/fuzzers/040-clk-hrow-config/generate.py index 615f03b0b..56f24db08 100644 --- a/fuzzers/040-clk-hrow-config/generate.py +++ b/fuzzers/040-clk-hrow-config/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json diff --git a/fuzzers/040-clk-hrow-config/generate.tcl b/fuzzers/040-clk-hrow-config/generate.tcl index 3044e1003..60c93bb0c 100644 --- a/fuzzers/040-clk-hrow-config/generate.tcl +++ b/fuzzers/040-clk-hrow-config/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc run {} { create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/fuzzers/040-clk-hrow-config/top.py b/fuzzers/040-clk-hrow-config/top.py index 2306ed804..fe2a4e320 100644 --- a/fuzzers/040-clk-hrow-config/top.py +++ b/fuzzers/040-clk-hrow-config/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json import os import random diff --git a/fuzzers/041-clk-hrow-pips/Makefile b/fuzzers/041-clk-hrow-pips/Makefile index 8612c58aa..3c869c109 100644 --- a/fuzzers/041-clk-hrow-pips/Makefile +++ b/fuzzers/041-clk-hrow-pips/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export FUZDIR=$(shell pwd) PIP_TYPE?=clk_hrow PIPLIST_TCL=$(FUZDIR)/clk_hrow_pip_list.tcl diff --git a/fuzzers/041-clk-hrow-pips/clk_hrow_pip_list.tcl b/fuzzers/041-clk-hrow-pips/clk_hrow_pip_list.tcl index 791a369d7..cfb36c39c 100644 --- a/fuzzers/041-clk-hrow-pips/clk_hrow_pip_list.tcl +++ b/fuzzers/041-clk-hrow-pips/clk_hrow_pip_list.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc print_tile_pips {tile_type filename} { set fp [open $filename w] set pips [dict create] diff --git a/fuzzers/041-clk-hrow-pips/generate.py b/fuzzers/041-clk-hrow-pips/generate.py index e6ccc4304..6a88ff23f 100644 --- a/fuzzers/041-clk-hrow-pips/generate.py +++ b/fuzzers/041-clk-hrow-pips/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import os.path diff --git a/fuzzers/041-clk-hrow-pips/generate.tcl b/fuzzers/041-clk-hrow-pips/generate.tcl index 3b040dfa4..7d5faf589 100644 --- a/fuzzers/041-clk-hrow-pips/generate.tcl +++ b/fuzzers/041-clk-hrow-pips/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc write_pip_txtdata {filename} { diff --git a/fuzzers/041-clk-hrow-pips/output_cmt.tcl b/fuzzers/041-clk-hrow-pips/output_cmt.tcl index 06aba1635..cc4059d0c 100644 --- a/fuzzers/041-clk-hrow-pips/output_cmt.tcl +++ b/fuzzers/041-clk-hrow-pips/output_cmt.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/041-clk-hrow-pips/output_pss_clocks.tcl b/fuzzers/041-clk-hrow-pips/output_pss_clocks.tcl index 8aacf2d90..7a12cf9f6 100644 --- a/fuzzers/041-clk-hrow-pips/output_pss_clocks.tcl +++ b/fuzzers/041-clk-hrow-pips/output_pss_clocks.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/041-clk-hrow-pips/top.py b/fuzzers/041-clk-hrow-pips/top.py index c24cbf614..6124c67a2 100644 --- a/fuzzers/041-clk-hrow-pips/top.py +++ b/fuzzers/041-clk-hrow-pips/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ Emits top.v's for various BUFHCE routing inputs. """ import os import random diff --git a/fuzzers/042-clk-bufg-config/Makefile b/fuzzers/042-clk-bufg-config/Makefile index 86c076a51..b33cef7fe 100644 --- a/fuzzers/042-clk-bufg-config/Makefile +++ b/fuzzers/042-clk-bufg-config/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 50 include ../fuzzer.mk diff --git a/fuzzers/042-clk-bufg-config/generate.py b/fuzzers/042-clk-bufg-config/generate.py index 53570591a..db81af02b 100644 --- a/fuzzers/042-clk-bufg-config/generate.py +++ b/fuzzers/042-clk-bufg-config/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json diff --git a/fuzzers/042-clk-bufg-config/generate.tcl b/fuzzers/042-clk-bufg-config/generate.tcl index 3044e1003..60c93bb0c 100644 --- a/fuzzers/042-clk-bufg-config/generate.tcl +++ b/fuzzers/042-clk-bufg-config/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc run {} { create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/fuzzers/042-clk-bufg-config/top.py b/fuzzers/042-clk-bufg-config/top.py index ca22613ad..982c55dac 100644 --- a/fuzzers/042-clk-bufg-config/top.py +++ b/fuzzers/042-clk-bufg-config/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json import os import random diff --git a/fuzzers/043-clk-rebuf-pips/Makefile b/fuzzers/043-clk-rebuf-pips/Makefile index c24ee2b87..97c6687b0 100644 --- a/fuzzers/043-clk-rebuf-pips/Makefile +++ b/fuzzers/043-clk-rebuf-pips/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 50 include ../fuzzer.mk diff --git a/fuzzers/043-clk-rebuf-pips/generate.py b/fuzzers/043-clk-rebuf-pips/generate.py index 6e643f260..1748e4a47 100644 --- a/fuzzers/043-clk-rebuf-pips/generate.py +++ b/fuzzers/043-clk-rebuf-pips/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray.segmaker import Segmaker from prjxray.db import Database diff --git a/fuzzers/043-clk-rebuf-pips/generate.tcl b/fuzzers/043-clk-rebuf-pips/generate.tcl index 64bf12040..b7340fce7 100644 --- a/fuzzers/043-clk-rebuf-pips/generate.tcl +++ b/fuzzers/043-clk-rebuf-pips/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc write_pip_txtdata {filename} { diff --git a/fuzzers/043-clk-rebuf-pips/top.py b/fuzzers/043-clk-rebuf-pips/top.py index 8754b84b7..7f1df7f10 100644 --- a/fuzzers/043-clk-rebuf-pips/top.py +++ b/fuzzers/043-clk-rebuf-pips/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import itertools import re diff --git a/fuzzers/044-clk-bufg-pips/Makefile b/fuzzers/044-clk-bufg-pips/Makefile index 3d0f42dec..0a62756a8 100644 --- a/fuzzers/044-clk-bufg-pips/Makefile +++ b/fuzzers/044-clk-bufg-pips/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export FUZDIR=$(shell pwd) PIP_TYPE?=clk_bufg PIPLIST_TCL=$(FUZDIR)/clk_bufg_pip_list.tcl diff --git a/fuzzers/044-clk-bufg-pips/build_zdb.py b/fuzzers/044-clk-bufg-pips/build_zdb.py index 8f8d8fe67..af8242049 100644 --- a/fuzzers/044-clk-bufg-pips/build_zdb.py +++ b/fuzzers/044-clk-bufg-pips/build_zdb.py @@ -1,5 +1,14 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ Tool for building zero db file for BUFG pips. - This requires that the rdb files be good enough to identify all the 0 candidate features, which may take multiple manual iterations. Manual iterations can be running like: diff --git a/fuzzers/044-clk-bufg-pips/clk_bufg_pip_list.tcl b/fuzzers/044-clk-bufg-pips/clk_bufg_pip_list.tcl index c870f3535..1fe388dbc 100644 --- a/fuzzers/044-clk-bufg-pips/clk_bufg_pip_list.tcl +++ b/fuzzers/044-clk-bufg-pips/clk_bufg_pip_list.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc print_tile_pips {tile_type filename} { set tile [lindex [get_tiles -filter "TYPE == $tile_type"] 0] puts "Dumping PIPs for tile $tile ($tile_type) to $filename." diff --git a/fuzzers/044-clk-bufg-pips/generate.py b/fuzzers/044-clk-bufg-pips/generate.py index 0be965f59..a0d096638 100644 --- a/fuzzers/044-clk-bufg-pips/generate.py +++ b/fuzzers/044-clk-bufg-pips/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray.segmaker import Segmaker import os diff --git a/fuzzers/044-clk-bufg-pips/generate.tcl b/fuzzers/044-clk-bufg-pips/generate.tcl index f3bbef428..14030f087 100644 --- a/fuzzers/044-clk-bufg-pips/generate.tcl +++ b/fuzzers/044-clk-bufg-pips/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc write_pip_txtdata {filename} { diff --git a/fuzzers/044-clk-bufg-pips/output_cmt.tcl b/fuzzers/044-clk-bufg-pips/output_cmt.tcl index 540c81434..cb93e754d 100644 --- a/fuzzers/044-clk-bufg-pips/output_cmt.tcl +++ b/fuzzers/044-clk-bufg-pips/output_cmt.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/044-clk-bufg-pips/top.py b/fuzzers/044-clk-bufg-pips/top.py index 68e77f56a..f73a30778 100644 --- a/fuzzers/044-clk-bufg-pips/top.py +++ b/fuzzers/044-clk-bufg-pips/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ Emits top.v's for various BUFHCE routing inputs. """ import os import random diff --git a/fuzzers/045-hclk-cmt-pips/Makefile b/fuzzers/045-hclk-cmt-pips/Makefile index 3898d7db5..8d6552553 100644 --- a/fuzzers/045-hclk-cmt-pips/Makefile +++ b/fuzzers/045-hclk-cmt-pips/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export FUZDIR=$(shell pwd) PIP_TYPE?=hclk_cmt PIPLIST_TCL=$(FUZDIR)/hclk_cmt_pip_list.tcl diff --git a/fuzzers/045-hclk-cmt-pips/generate.py b/fuzzers/045-hclk-cmt-pips/generate.py index 79b08435e..7be95d089 100644 --- a/fuzzers/045-hclk-cmt-pips/generate.py +++ b/fuzzers/045-hclk-cmt-pips/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray.segmaker import Segmaker import os diff --git a/fuzzers/045-hclk-cmt-pips/generate.tcl b/fuzzers/045-hclk-cmt-pips/generate.tcl index bf158c079..c2d677d4e 100644 --- a/fuzzers/045-hclk-cmt-pips/generate.tcl +++ b/fuzzers/045-hclk-cmt-pips/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc write_pip_txtdata {filename} { diff --git a/fuzzers/045-hclk-cmt-pips/hclk_cmt_pip_list.tcl b/fuzzers/045-hclk-cmt-pips/hclk_cmt_pip_list.tcl index a41b692b8..e69b51463 100644 --- a/fuzzers/045-hclk-cmt-pips/hclk_cmt_pip_list.tcl +++ b/fuzzers/045-hclk-cmt-pips/hclk_cmt_pip_list.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc print_tile_pips {tile_type filename} { set fp [open $filename w] set pips [dict create] diff --git a/fuzzers/045-hclk-cmt-pips/output_cmt.tcl b/fuzzers/045-hclk-cmt-pips/output_cmt.tcl index 6cc740900..0c4edd3ce 100644 --- a/fuzzers/045-hclk-cmt-pips/output_cmt.tcl +++ b/fuzzers/045-hclk-cmt-pips/output_cmt.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/045-hclk-cmt-pips/top.py b/fuzzers/045-hclk-cmt-pips/top.py index 357214443..e7e2c855d 100644 --- a/fuzzers/045-hclk-cmt-pips/top.py +++ b/fuzzers/045-hclk-cmt-pips/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ Emits top.v's for various BUFHCE routing inputs. """ import os import random diff --git a/fuzzers/046-clk-bufg-muxed-pips/Makefile b/fuzzers/046-clk-bufg-muxed-pips/Makefile index 41ba15801..c19caf9a7 100644 --- a/fuzzers/046-clk-bufg-muxed-pips/Makefile +++ b/fuzzers/046-clk-bufg-muxed-pips/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export FUZDIR=$(shell pwd) PIP_TYPE?=clk_bufg PIPLIST_TCL=$(FUZDIR)/clk_bufg_pip_list.tcl diff --git a/fuzzers/046-clk-bufg-muxed-pips/build_zdb.py b/fuzzers/046-clk-bufg-muxed-pips/build_zdb.py index 8f8d8fe67..af8242049 100644 --- a/fuzzers/046-clk-bufg-muxed-pips/build_zdb.py +++ b/fuzzers/046-clk-bufg-muxed-pips/build_zdb.py @@ -1,5 +1,14 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ Tool for building zero db file for BUFG pips. - This requires that the rdb files be good enough to identify all the 0 candidate features, which may take multiple manual iterations. Manual iterations can be running like: diff --git a/fuzzers/046-clk-bufg-muxed-pips/clk_bufg_pip_list.tcl b/fuzzers/046-clk-bufg-muxed-pips/clk_bufg_pip_list.tcl index c870f3535..1fe388dbc 100644 --- a/fuzzers/046-clk-bufg-muxed-pips/clk_bufg_pip_list.tcl +++ b/fuzzers/046-clk-bufg-muxed-pips/clk_bufg_pip_list.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc print_tile_pips {tile_type filename} { set tile [lindex [get_tiles -filter "TYPE == $tile_type"] 0] puts "Dumping PIPs for tile $tile ($tile_type) to $filename." diff --git a/fuzzers/046-clk-bufg-muxed-pips/generate.py b/fuzzers/046-clk-bufg-muxed-pips/generate.py index 7f431b3f5..711d77b0a 100644 --- a/fuzzers/046-clk-bufg-muxed-pips/generate.py +++ b/fuzzers/046-clk-bufg-muxed-pips/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray.segmaker import Segmaker import os diff --git a/fuzzers/046-clk-bufg-muxed-pips/generate.tcl b/fuzzers/046-clk-bufg-muxed-pips/generate.tcl index f3bbef428..14030f087 100644 --- a/fuzzers/046-clk-bufg-muxed-pips/generate.tcl +++ b/fuzzers/046-clk-bufg-muxed-pips/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc write_pip_txtdata {filename} { diff --git a/fuzzers/046-clk-bufg-muxed-pips/output_cmt.tcl b/fuzzers/046-clk-bufg-muxed-pips/output_cmt.tcl index 540c81434..cb93e754d 100644 --- a/fuzzers/046-clk-bufg-muxed-pips/output_cmt.tcl +++ b/fuzzers/046-clk-bufg-muxed-pips/output_cmt.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/046-clk-bufg-muxed-pips/top.py b/fuzzers/046-clk-bufg-muxed-pips/top.py index 68e77f56a..f73a30778 100644 --- a/fuzzers/046-clk-bufg-muxed-pips/top.py +++ b/fuzzers/046-clk-bufg-muxed-pips/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ Emits top.v's for various BUFHCE routing inputs. """ import os import random diff --git a/fuzzers/047-hclk-ioi-pips/Makefile b/fuzzers/047-hclk-ioi-pips/Makefile index a2dacd911..3494b9527 100644 --- a/fuzzers/047-hclk-ioi-pips/Makefile +++ b/fuzzers/047-hclk-ioi-pips/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export FUZDIR=$(shell pwd) PIP_TYPE?=hclk_ioi3 PIPLIST_TCL=$(FUZDIR)/hclk_ioi3_pip_list.tcl diff --git a/fuzzers/047-hclk-ioi-pips/generate.py b/fuzzers/047-hclk-ioi-pips/generate.py index e6471c87f..6af0e3370 100644 --- a/fuzzers/047-hclk-ioi-pips/generate.py +++ b/fuzzers/047-hclk-ioi-pips/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray.segmaker import Segmaker import os diff --git a/fuzzers/047-hclk-ioi-pips/generate.tcl b/fuzzers/047-hclk-ioi-pips/generate.tcl index 69617361c..ec7756a99 100644 --- a/fuzzers/047-hclk-ioi-pips/generate.tcl +++ b/fuzzers/047-hclk-ioi-pips/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc load_todo {{dir "dst"}} { diff --git a/fuzzers/047-hclk-ioi-pips/hclk_ioi3_pip_list.tcl b/fuzzers/047-hclk-ioi-pips/hclk_ioi3_pip_list.tcl index 36d1e7af0..24f700fc1 100644 --- a/fuzzers/047-hclk-ioi-pips/hclk_ioi3_pip_list.tcl +++ b/fuzzers/047-hclk-ioi-pips/hclk_ioi3_pip_list.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc print_tile_pips {tile_type filename} { set fp [open $filename w] set pips [dict create] diff --git a/fuzzers/047-hclk-ioi-pips/output_cmt.tcl b/fuzzers/047-hclk-ioi-pips/output_cmt.tcl index 30bbd3e11..67ba32572 100644 --- a/fuzzers/047-hclk-ioi-pips/output_cmt.tcl +++ b/fuzzers/047-hclk-ioi-pips/output_cmt.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/047-hclk-ioi-pips/top.py b/fuzzers/047-hclk-ioi-pips/top.py index 5231a84d5..246e57c79 100644 --- a/fuzzers/047-hclk-ioi-pips/top.py +++ b/fuzzers/047-hclk-ioi-pips/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ Emits top.v's for various BUFHCE routing inputs. """ import os import random diff --git a/fuzzers/047a-hclk-idelayctrl-pips/Makefile b/fuzzers/047a-hclk-idelayctrl-pips/Makefile index 504d69524..6cf7ccdc0 100644 --- a/fuzzers/047a-hclk-idelayctrl-pips/Makefile +++ b/fuzzers/047a-hclk-idelayctrl-pips/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export FUZDIR=$(shell pwd) PIP_TYPE?=hclk_ioi3 PIPLIST_TCL=$(FUZDIR)/hclk_ioi3_pip_list.tcl diff --git a/fuzzers/047a-hclk-idelayctrl-pips/generate.py b/fuzzers/047a-hclk-idelayctrl-pips/generate.py index a038ceb3a..d39ad7849 100644 --- a/fuzzers/047a-hclk-idelayctrl-pips/generate.py +++ b/fuzzers/047a-hclk-idelayctrl-pips/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray.segmaker import Segmaker import os diff --git a/fuzzers/047a-hclk-idelayctrl-pips/generate.tcl b/fuzzers/047a-hclk-idelayctrl-pips/generate.tcl index edf1494f8..10866254c 100644 --- a/fuzzers/047a-hclk-idelayctrl-pips/generate.tcl +++ b/fuzzers/047a-hclk-idelayctrl-pips/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc load_todo {{dir "dst"}} { diff --git a/fuzzers/047a-hclk-idelayctrl-pips/hclk_ioi3_pip_list.tcl b/fuzzers/047a-hclk-idelayctrl-pips/hclk_ioi3_pip_list.tcl index 36d1e7af0..24f700fc1 100644 --- a/fuzzers/047a-hclk-idelayctrl-pips/hclk_ioi3_pip_list.tcl +++ b/fuzzers/047a-hclk-idelayctrl-pips/hclk_ioi3_pip_list.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc print_tile_pips {tile_type filename} { set fp [open $filename w] set pips [dict create] diff --git a/fuzzers/047a-hclk-idelayctrl-pips/output_cmt.tcl b/fuzzers/047a-hclk-idelayctrl-pips/output_cmt.tcl index 30bbd3e11..67ba32572 100644 --- a/fuzzers/047a-hclk-idelayctrl-pips/output_cmt.tcl +++ b/fuzzers/047a-hclk-idelayctrl-pips/output_cmt.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/047a-hclk-idelayctrl-pips/top.py b/fuzzers/047a-hclk-idelayctrl-pips/top.py index fe6074974..9be801e1e 100644 --- a/fuzzers/047a-hclk-idelayctrl-pips/top.py +++ b/fuzzers/047a-hclk-idelayctrl-pips/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ Emits top.v's for various BUFHCE routing inputs. """ import os import random diff --git a/fuzzers/048-int-piplist/Makefile b/fuzzers/048-int-piplist/Makefile index 67c792514..6b79a9f21 100644 --- a/fuzzers/048-int-piplist/Makefile +++ b/fuzzers/048-int-piplist/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC all: database include ../pip_list.mk diff --git a/fuzzers/049-int-imux-gfan/Makefile b/fuzzers/049-int-imux-gfan/Makefile index 31a3087b1..67504f6ff 100644 --- a/fuzzers/049-int-imux-gfan/Makefile +++ b/fuzzers/049-int-imux-gfan/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N = 5 MAKETODO_FLAGS=--re "^INT_[LR].IMUX[^\.]+\.GFAN[01]$$" include ../int_loop.mk diff --git a/fuzzers/049-int-imux-gfan/generate.py b/fuzzers/049-int-imux-gfan/generate.py index 379d57cd6..43f9e93a8 100644 --- a/fuzzers/049-int-imux-gfan/generate.py +++ b/fuzzers/049-int-imux-gfan/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import re import os diff --git a/fuzzers/049-int-imux-gfan/generate.tcl b/fuzzers/049-int-imux-gfan/generate.tcl index 2e56b7aa7..5972b6d7d 100644 --- a/fuzzers/049-int-imux-gfan/generate.tcl +++ b/fuzzers/049-int-imux-gfan/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" generate_top diff --git a/fuzzers/049-int-imux-gfan/top.py b/fuzzers/049-int-imux-gfan/top.py index 6a10a437a..a579bc9c4 100644 --- a/fuzzers/049-int-imux-gfan/top.py +++ b/fuzzers/049-int-imux-gfan/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random import itertools diff --git a/fuzzers/050-pip-seed/Makefile b/fuzzers/050-pip-seed/Makefile index a40ea42e1..fa2df05d7 100644 --- a/fuzzers/050-pip-seed/Makefile +++ b/fuzzers/050-pip-seed/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC MAKETODO_FLAGS=--re ".*" --not-endswith ".VCC_WIRE" ifeq ($(QUICK),Y) N ?= 1 diff --git a/fuzzers/050-pip-seed/generate.py b/fuzzers/050-pip-seed/generate.py index 93cd21128..2a9b1c83b 100644 --- a/fuzzers/050-pip-seed/generate.py +++ b/fuzzers/050-pip-seed/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import re import os diff --git a/fuzzers/050-pip-seed/generate.sh b/fuzzers/050-pip-seed/generate.sh index c83a1b3c2..c7dff7c84 100644 --- a/fuzzers/050-pip-seed/generate.sh +++ b/fuzzers/050-pip-seed/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex diff --git a/fuzzers/050-pip-seed/generate.tcl b/fuzzers/050-pip-seed/generate.tcl index d63927eea..8830ae0d4 100644 --- a/fuzzers/050-pip-seed/generate.tcl +++ b/fuzzers/050-pip-seed/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC puts "FUZ([pwd]): Creating project" create_project -force -part $::env(XRAY_PART) design design diff --git a/fuzzers/051-pip-imuxlout-bypalts/Makefile b/fuzzers/051-pip-imuxlout-bypalts/Makefile index ce8a524e1..6e06e1189 100644 --- a/fuzzers/051-pip-imuxlout-bypalts/Makefile +++ b/fuzzers/051-pip-imuxlout-bypalts/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC MAKETODO_FLAGS=--re "^INT_[LR]\.(BYP_ALT[0-9]+)?(IMUX_?L?[0-9]+)?\.LOGIC_OUTS_?L?[0-9]+$$" include ../int_loop.mk diff --git a/fuzzers/051-pip-imuxlout-bypalts/generate.tcl b/fuzzers/051-pip-imuxlout-bypalts/generate.tcl index 786a4b3d6..0ef7478fc 100644 --- a/fuzzers/051-pip-imuxlout-bypalts/generate.tcl +++ b/fuzzers/051-pip-imuxlout-bypalts/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" create_project -force -part $::env(XRAY_PART) design design diff --git a/fuzzers/052-pip-clkin/Makefile b/fuzzers/052-pip-clkin/Makefile index eaa462537..b4f36c734 100644 --- a/fuzzers/052-pip-clkin/Makefile +++ b/fuzzers/052-pip-clkin/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC MAKETODO_FLAGS=--re "^INT_[LR].CLK" TODO_N = 5 include ../int_loop.mk diff --git a/fuzzers/052-pip-clkin/generate.py b/fuzzers/052-pip-clkin/generate.py index 3fe41e6f8..38b43ad16 100644 --- a/fuzzers/052-pip-clkin/generate.py +++ b/fuzzers/052-pip-clkin/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import re diff --git a/fuzzers/052-pip-clkin/generate.tcl b/fuzzers/052-pip-clkin/generate.tcl index 41c0bc12e..012d64544 100644 --- a/fuzzers/052-pip-clkin/generate.tcl +++ b/fuzzers/052-pip-clkin/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc randsample_list_unique {num lst {axis ""}} { set rlst {} diff --git a/fuzzers/053-pip-ctrlin/Makefile b/fuzzers/053-pip-ctrlin/Makefile index 72a5c7e11..ee323e4b2 100644 --- a/fuzzers/053-pip-ctrlin/Makefile +++ b/fuzzers/053-pip-ctrlin/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC MAKETODO_FLAGS=--re "^INT_[LR].CTRL" N=40 include ../int_loop.mk diff --git a/fuzzers/053-pip-ctrlin/generate.py b/fuzzers/053-pip-ctrlin/generate.py index 7dae573b8..aa5efd74d 100644 --- a/fuzzers/053-pip-ctrlin/generate.py +++ b/fuzzers/053-pip-ctrlin/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import re diff --git a/fuzzers/053-pip-ctrlin/generate.tcl b/fuzzers/053-pip-ctrlin/generate.tcl index 8436938fa..8986bfef0 100644 --- a/fuzzers/053-pip-ctrlin/generate.tcl +++ b/fuzzers/053-pip-ctrlin/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" create_project -force -part $::env(XRAY_PART) design design diff --git a/fuzzers/054-pip-fan-alt/Makefile b/fuzzers/054-pip-fan-alt/Makefile index 05029a271..8917c5bf5 100644 --- a/fuzzers/054-pip-fan-alt/Makefile +++ b/fuzzers/054-pip-fan-alt/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC MAKETODO_FLAGS=--re "^INT_[LR].(FAN|BYP)_ALT[0-9]\.GFAN[0-9]$$" GENERATE_FLAGS=--todo ../todo.txt N = 48 diff --git a/fuzzers/054-pip-fan-alt/generate.tcl b/fuzzers/054-pip-fan-alt/generate.tcl index 0539ef48b..7b913bf97 100644 --- a/fuzzers/054-pip-fan-alt/generate.tcl +++ b/fuzzers/054-pip-fan-alt/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc build_basic {} { diff --git a/fuzzers/055-pip-gnd/Makefile b/fuzzers/055-pip-gnd/Makefile index 44a48e47b..4614f68c7 100644 --- a/fuzzers/055-pip-gnd/Makefile +++ b/fuzzers/055-pip-gnd/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC ifeq ($(QUICK),Y) N := 1 else diff --git a/fuzzers/055-pip-gnd/generate.py b/fuzzers/055-pip-gnd/generate.py index adc9946a7..3cec98cb4 100644 --- a/fuzzers/055-pip-gnd/generate.py +++ b/fuzzers/055-pip-gnd/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import re import os diff --git a/fuzzers/055-pip-gnd/generate.sh b/fuzzers/055-pip-gnd/generate.sh index f42f840c1..043fbdde7 100644 --- a/fuzzers/055-pip-gnd/generate.sh +++ b/fuzzers/055-pip-gnd/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex source ${XRAY_DIR}/utils/top_generate.sh diff --git a/fuzzers/055-pip-gnd/generate.tcl b/fuzzers/055-pip-gnd/generate.tcl index 4cd65159b..982746928 100644 --- a/fuzzers/055-pip-gnd/generate.tcl +++ b/fuzzers/055-pip-gnd/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" create_project -force -part $::env(XRAY_PART) design design diff --git a/fuzzers/056-pip-rem/Makefile b/fuzzers/056-pip-rem/Makefile index 2c063f8fa..e5fbab539 100644 --- a/fuzzers/056-pip-rem/Makefile +++ b/fuzzers/056-pip-rem/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC MAKETODO_FLAGS=--re ".*" --not-endswith ".VCC_WIRE" GENERATE_FLAGS=--todo ../todo.txt N = 24 diff --git a/fuzzers/056-pip-rem/generate.tcl b/fuzzers/056-pip-rem/generate.tcl index 67865c0d3..500701b64 100644 --- a/fuzzers/056-pip-rem/generate.tcl +++ b/fuzzers/056-pip-rem/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" # This fuzzer occasionally fails on the PDIL-1 DRC diff --git a/fuzzers/057-pip-bi/Makefile b/fuzzers/057-pip-bi/Makefile index 33aebca53..fa9e7dc97 100644 --- a/fuzzers/057-pip-bi/Makefile +++ b/fuzzers/057-pip-bi/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC MAKETODO_FLAGS=--pip-type bipips_int --re ".*" export FUZDIR=$(shell pwd) PIPLIST_TCL=$(FUZDIR)/bipiplist.tcl diff --git a/fuzzers/057-pip-bi/bipiplist.tcl b/fuzzers/057-pip-bi/bipiplist.tcl index bfd3d478e..3e2b6f874 100644 --- a/fuzzers/057-pip-bi/bipiplist.tcl +++ b/fuzzers/057-pip-bi/bipiplist.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) bipiplist bipiplist read_verilog $::env(XRAY_FUZZERS_DIR)/piplist/piplist.v diff --git a/fuzzers/057-pip-bi/generate.py b/fuzzers/057-pip-bi/generate.py index b43cb3023..15ceda955 100644 --- a/fuzzers/057-pip-bi/generate.py +++ b/fuzzers/057-pip-bi/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os diff --git a/fuzzers/057-pip-bi/generate.tcl b/fuzzers/057-pip-bi/generate.tcl index eb9fb92b8..7ea37b874 100644 --- a/fuzzers/057-pip-bi/generate.tcl +++ b/fuzzers/057-pip-bi/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog $::env(FUZDIR)/top.v diff --git a/fuzzers/058-pip-hclk/Makefile b/fuzzers/058-pip-hclk/Makefile index 77b080959..300657c8c 100644 --- a/fuzzers/058-pip-hclk/Makefile +++ b/fuzzers/058-pip-hclk/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 2 SPECIMENS := $(addprefix build/specimen_,$(shell seq -f '%03.0f' $(N))) diff --git a/fuzzers/058-pip-hclk/generate.py b/fuzzers/058-pip-hclk/generate.py index 26ac18af6..f001d6cc4 100644 --- a/fuzzers/058-pip-hclk/generate.py +++ b/fuzzers/058-pip-hclk/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import sys import re diff --git a/fuzzers/058-pip-hclk/generate.sh b/fuzzers/058-pip-hclk/generate.sh index 9ac33692e..11f226737 100644 --- a/fuzzers/058-pip-hclk/generate.sh +++ b/fuzzers/058-pip-hclk/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source ${XRAY_GENHEADER} diff --git a/fuzzers/058-pip-hclk/generate.tcl b/fuzzers/058-pip-hclk/generate.tcl index c03b20f17..2fa207b20 100644 --- a/fuzzers/058-pip-hclk/generate.tcl +++ b/fuzzers/058-pip-hclk/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" create_project -force -part $::env(XRAY_PART) design design diff --git a/fuzzers/059-pip-byp-bounce/Makefile b/fuzzers/059-pip-byp-bounce/Makefile index ac242b7ec..93ec695db 100644 --- a/fuzzers/059-pip-byp-bounce/Makefile +++ b/fuzzers/059-pip-byp-bounce/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC MAKETODO_FLAGS=--re "^INT_[LR].FAN_ALT[0-9]\.BYP_BOUNCE.*$$" GENERATE_FLAGS=--todo ../todo.txt N = 48 diff --git a/fuzzers/059-pip-byp-bounce/generate.tcl b/fuzzers/059-pip-byp-bounce/generate.tcl index 0539ef48b..7b913bf97 100644 --- a/fuzzers/059-pip-byp-bounce/generate.tcl +++ b/fuzzers/059-pip-byp-bounce/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc build_basic {} { diff --git a/fuzzers/060-bram-cascades/Makefile b/fuzzers/060-bram-cascades/Makefile index bf8f531b2..e7c967cb2 100644 --- a/fuzzers/060-bram-cascades/Makefile +++ b/fuzzers/060-bram-cascades/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export FUZDIR=$(shell pwd) PIP_TYPE?=bram_pips_int PIPLIST_TCL=$(FUZDIR)/bram_pip_list.tcl diff --git a/fuzzers/060-bram-cascades/bram_pip_list.tcl b/fuzzers/060-bram-cascades/bram_pip_list.tcl index af175f389..088169a4c 100644 --- a/fuzzers/060-bram-cascades/bram_pip_list.tcl +++ b/fuzzers/060-bram-cascades/bram_pip_list.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/060-bram-cascades/generate.py b/fuzzers/060-bram-cascades/generate.py index 2dabe3385..4871496e1 100644 --- a/fuzzers/060-bram-cascades/generate.py +++ b/fuzzers/060-bram-cascades/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray.segmaker import Segmaker import re diff --git a/fuzzers/060-bram-cascades/generate.tcl b/fuzzers/060-bram-cascades/generate.tcl index e65d9b96a..5dd8b841e 100644 --- a/fuzzers/060-bram-cascades/generate.tcl +++ b/fuzzers/060-bram-cascades/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC puts "FUZ([pwd]): Creating project" create_project -force -part $::env(XRAY_PART) design design diff --git a/fuzzers/060-bram-cascades/top.py b/fuzzers/060-bram-cascades/top.py index 61b56ca94..19138bf50 100644 --- a/fuzzers/060-bram-cascades/top.py +++ b/fuzzers/060-bram-cascades/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import sys import random diff --git a/fuzzers/060-bram-cascades/unmanagle_pips.py b/fuzzers/060-bram-cascades/unmanagle_pips.py index 5f5034f63..c215cee77 100644 --- a/fuzzers/060-bram-cascades/unmanagle_pips.py +++ b/fuzzers/060-bram-cascades/unmanagle_pips.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import argparse diff --git a/fuzzers/071-ppips/Makefile b/fuzzers/071-ppips/Makefile index d3b05e2ce..9020a884d 100644 --- a/fuzzers/071-ppips/Makefile +++ b/fuzzers/071-ppips/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 1 SPECIMENS := $(addprefix build/specimen_,$(shell seq -f '%03.0f' $(N))) diff --git a/fuzzers/071-ppips/generate.sh b/fuzzers/071-ppips/generate.sh index e6b0e2c64..1a8d02f25 100644 --- a/fuzzers/071-ppips/generate.sh +++ b/fuzzers/071-ppips/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash -x +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source ${XRAY_GENHEADER} diff --git a/fuzzers/071-ppips/generate.tcl b/fuzzers/071-ppips/generate.tcl index d13080957..b1f1faa04 100644 --- a/fuzzers/071-ppips/generate.tcl +++ b/fuzzers/071-ppips/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog $::env(FUZDIR)/top.v diff --git a/fuzzers/072-ordered_wires/Makefile b/fuzzers/072-ordered_wires/Makefile index bbdc30b93..1905e5e42 100644 --- a/fuzzers/072-ordered_wires/Makefile +++ b/fuzzers/072-ordered_wires/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 1 BUILD_DIR = build_${XRAY_PART} diff --git a/fuzzers/072-ordered_wires/generate.sh b/fuzzers/072-ordered_wires/generate.sh index cfb3e65ca..cd27cc6d6 100644 --- a/fuzzers/072-ordered_wires/generate.sh +++ b/fuzzers/072-ordered_wires/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash -x +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source ${XRAY_GENHEADER} diff --git a/fuzzers/072-ordered_wires/get_pipscount.tcl b/fuzzers/072-ordered_wires/get_pipscount.tcl index 0ec67ea47..5d97a5b1e 100644 --- a/fuzzers/072-ordered_wires/get_pipscount.tcl +++ b/fuzzers/072-ordered_wires/get_pipscount.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/072-ordered_wires/job.tcl b/fuzzers/072-ordered_wires/job.tcl index a987bd78f..cf4e9943a 100644 --- a/fuzzers/072-ordered_wires/job.tcl +++ b/fuzzers/072-ordered_wires/job.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set blocknb [lindex $argv 0] set start [expr int([lindex $argv 1])] set stop [expr int([lindex $argv 2])] diff --git a/fuzzers/072-ordered_wires/run_fuzzer.py b/fuzzers/072-ordered_wires/run_fuzzer.py index d8abbf7b8..2f5b3b193 100644 --- a/fuzzers/072-ordered_wires/run_fuzzer.py +++ b/fuzzers/072-ordered_wires/run_fuzzer.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import shutil import sys diff --git a/fuzzers/073-get_counts/Makefile b/fuzzers/073-get_counts/Makefile index 37b8cdb63..4cf0c0215 100644 --- a/fuzzers/073-get_counts/Makefile +++ b/fuzzers/073-get_counts/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 1 BUILD_DIR = build_${XRAY_PART} diff --git a/fuzzers/073-get_counts/generate.sh b/fuzzers/073-get_counts/generate.sh index 90f676f21..50ba5656c 100644 --- a/fuzzers/073-get_counts/generate.sh +++ b/fuzzers/073-get_counts/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash -x +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source ${XRAY_GENHEADER} diff --git a/fuzzers/073-get_counts/generate.tcl b/fuzzers/073-get_counts/generate.tcl index cb7179e5c..e2e5479a3 100644 --- a/fuzzers/073-get_counts/generate.tcl +++ b/fuzzers/073-get_counts/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # This script dumps the count of each major object count for sanity checking. # # For large parts, this may take a while, hence why it is a seperate generate diff --git a/fuzzers/074-dump_all/Makefile b/fuzzers/074-dump_all/Makefile index 01c07b9e0..a4ac483c8 100644 --- a/fuzzers/074-dump_all/Makefile +++ b/fuzzers/074-dump_all/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 1 BUILD_DIR = build_${XRAY_PART} diff --git a/fuzzers/074-dump_all/analyze_errors.py b/fuzzers/074-dump_all/analyze_errors.py index 061c9e5f2..5c32d8e9b 100644 --- a/fuzzers/074-dump_all/analyze_errors.py +++ b/fuzzers/074-dump_all/analyze_errors.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json import argparse diff --git a/fuzzers/074-dump_all/cleanup_site_pins.py b/fuzzers/074-dump_all/cleanup_site_pins.py index c528ad271..e368ebfa4 100644 --- a/fuzzers/074-dump_all/cleanup_site_pins.py +++ b/fuzzers/074-dump_all/cleanup_site_pins.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ Tool to cleanup site pins JSON dumps. This tool has two behaviors. This first is to rename site names from global diff --git a/fuzzers/074-dump_all/create_node_tree.py b/fuzzers/074-dump_all/create_node_tree.py index 96c11cdbb..aedb27bcc 100644 --- a/fuzzers/074-dump_all/create_node_tree.py +++ b/fuzzers/074-dump_all/create_node_tree.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import argparse import datetime import progressbar diff --git a/fuzzers/074-dump_all/generate.sh b/fuzzers/074-dump_all/generate.sh index 7606ac5bb..50f5d621b 100644 --- a/fuzzers/074-dump_all/generate.sh +++ b/fuzzers/074-dump_all/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash -x +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source ${XRAY_GENHEADER} diff --git a/fuzzers/074-dump_all/generate_after_dump.sh b/fuzzers/074-dump_all/generate_after_dump.sh index 712a7e2ba..fbb8f96b1 100755 --- a/fuzzers/074-dump_all/generate_after_dump.sh +++ b/fuzzers/074-dump_all/generate_after_dump.sh @@ -1,4 +1,11 @@ #!/bin/bash -xe +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # By default use ~50 GiB for generate_grid.py, but allow override. export DEFAULT_MAX_GRID_CPU=10 diff --git a/fuzzers/074-dump_all/generate_grid.py b/fuzzers/074-dump_all/generate_grid.py index 82f985022..867542559 100644 --- a/fuzzers/074-dump_all/generate_grid.py +++ b/fuzzers/074-dump_all/generate_grid.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ Generate grid from database dump """ from __future__ import print_function diff --git a/fuzzers/074-dump_all/generate_ignore_list.py b/fuzzers/074-dump_all/generate_ignore_list.py index 5cd0be1c7..82cb775b6 100644 --- a/fuzzers/074-dump_all/generate_ignore_list.py +++ b/fuzzers/074-dump_all/generate_ignore_list.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json with open('output/error_nodes.json') as f: diff --git a/fuzzers/074-dump_all/get_nodescount.tcl b/fuzzers/074-dump_all/get_nodescount.tcl index d20532ad9..c34afc080 100644 --- a/fuzzers/074-dump_all/get_nodescount.tcl +++ b/fuzzers/074-dump_all/get_nodescount.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/074-dump_all/get_speed_model.tcl b/fuzzers/074-dump_all/get_speed_model.tcl index dc7525668..c84a821db 100644 --- a/fuzzers/074-dump_all/get_speed_model.tcl +++ b/fuzzers/074-dump_all/get_speed_model.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set filename [lindex $argv 0] create_project -force -part $::env(XRAY_PART) -name $filename diff --git a/fuzzers/074-dump_all/get_tilescount.tcl b/fuzzers/074-dump_all/get_tilescount.tcl index 19469ed1c..835319fe9 100644 --- a/fuzzers/074-dump_all/get_tilescount.tcl +++ b/fuzzers/074-dump_all/get_tilescount.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/074-dump_all/jobnodes.tcl b/fuzzers/074-dump_all/jobnodes.tcl index 824c7e8d7..7b3915f07 100644 --- a/fuzzers/074-dump_all/jobnodes.tcl +++ b/fuzzers/074-dump_all/jobnodes.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set blocknb [lindex $argv 0] set start [expr int([lindex $argv 1])] set stop [expr int([lindex $argv 2])] diff --git a/fuzzers/074-dump_all/jobtiles.tcl b/fuzzers/074-dump_all/jobtiles.tcl index 15336674e..4eb7d3d11 100644 --- a/fuzzers/074-dump_all/jobtiles.tcl +++ b/fuzzers/074-dump_all/jobtiles.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set blocknb [lindex $argv 0] set start [expr int([lindex $argv 1])] set stop [expr int([lindex $argv 2])] diff --git a/fuzzers/074-dump_all/reduce_site_types.py b/fuzzers/074-dump_all/reduce_site_types.py index a8aba61a7..9a30686e0 100644 --- a/fuzzers/074-dump_all/reduce_site_types.py +++ b/fuzzers/074-dump_all/reduce_site_types.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ Reduce sites types to prototypes that are always correct. reduce_tile_types.py generates per tile type site types. reduce_site_types.py diff --git a/fuzzers/074-dump_all/reduce_tile_types.py b/fuzzers/074-dump_all/reduce_tile_types.py index fdaa0f998..ca8bc5525 100644 --- a/fuzzers/074-dump_all/reduce_tile_types.py +++ b/fuzzers/074-dump_all/reduce_tile_types.py @@ -1,5 +1,14 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ Reduce tile types to prototypes that are always correct. - The dump-all generate.tcl dumps all instances of each tile type. Some tiles are missing wires. reduce_tile_types.py generates the superset tile that encompases all tiles of that type. If it is not possible to generate a super diff --git a/fuzzers/074-dump_all/run_fuzzer.py b/fuzzers/074-dump_all/run_fuzzer.py index 68a2dccfa..f1b16a592 100644 --- a/fuzzers/074-dump_all/run_fuzzer.py +++ b/fuzzers/074-dump_all/run_fuzzer.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import shutil import sys import subprocess diff --git a/fuzzers/075-pins/Makefile b/fuzzers/075-pins/Makefile index 6471fc6d3..153c8035c 100644 --- a/fuzzers/075-pins/Makefile +++ b/fuzzers/075-pins/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 1 BUILD_DIR = build_${XRAY_PART} SPECIMENS := $(addprefix $(BUILD_DIR)/specimen_,$(shell seq -f '%03.0f' $(N))) diff --git a/fuzzers/075-pins/generate.sh b/fuzzers/075-pins/generate.sh index e6b0e2c64..1a8d02f25 100644 --- a/fuzzers/075-pins/generate.sh +++ b/fuzzers/075-pins/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash -x +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source ${XRAY_GENHEADER} diff --git a/fuzzers/075-pins/generate.tcl b/fuzzers/075-pins/generate.tcl index 2b86aa8ab..92c486b37 100644 --- a/fuzzers/075-pins/generate.tcl +++ b/fuzzers/075-pins/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/076-ps7/Makefile b/fuzzers/076-ps7/Makefile index f58aae52c..3a5f82e89 100644 --- a/fuzzers/076-ps7/Makefile +++ b/fuzzers/076-ps7/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N := 1 SPECIMENS := $(addprefix build/specimen_,$(shell seq -f '%03.0f' $(N))) SPECIMENS_OK := $(addsuffix /OK,$(SPECIMENS)) diff --git a/fuzzers/076-ps7/generate.sh b/fuzzers/076-ps7/generate.sh index e6b0e2c64..1a8d02f25 100644 --- a/fuzzers/076-ps7/generate.sh +++ b/fuzzers/076-ps7/generate.sh @@ -1,4 +1,11 @@ #!/bin/bash -x +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source ${XRAY_GENHEADER} diff --git a/fuzzers/076-ps7/generate.tcl b/fuzzers/076-ps7/generate.tcl index f8a55556c..0fd5fd5f6 100644 --- a/fuzzers/076-ps7/generate.tcl +++ b/fuzzers/076-ps7/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name design -part $::env(XRAY_PART) set_property design_mode PinPlanning [current_fileset] open_io_design -name io_1 diff --git a/fuzzers/076-ps7/make_ports.py b/fuzzers/076-ps7/make_ports.py index 1f4f169cd..d84cb9a74 100644 --- a/fuzzers/076-ps7/make_ports.py +++ b/fuzzers/076-ps7/make_ports.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ This script loads the PS7 pin dump from Vivado and groups pins into ports. Also assigns each port a class that indicates its function. The classes are: diff --git a/fuzzers/100-dsp-mskpat/Makefile b/fuzzers/100-dsp-mskpat/Makefile index 8b97082a0..7bd4f3bf6 100644 --- a/fuzzers/100-dsp-mskpat/Makefile +++ b/fuzzers/100-dsp-mskpat/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC N ?= 8 include ../fuzzer.mk diff --git a/fuzzers/100-dsp-mskpat/generate.py b/fuzzers/100-dsp-mskpat/generate.py index 3dea122b7..0020c8a4c 100644 --- a/fuzzers/100-dsp-mskpat/generate.py +++ b/fuzzers/100-dsp-mskpat/generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray.segmaker import Segmaker, add_site_group_zero from prjxray.verilog import to_int diff --git a/fuzzers/100-dsp-mskpat/generate.tcl b/fuzzers/100-dsp-mskpat/generate.tcl index ee2542fea..12504afd7 100644 --- a/fuzzers/100-dsp-mskpat/generate.tcl +++ b/fuzzers/100-dsp-mskpat/generate.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc run {} { diff --git a/fuzzers/100-dsp-mskpat/top.py b/fuzzers/100-dsp-mskpat/top.py index e08e0bd7d..2a278e300 100644 --- a/fuzzers/100-dsp-mskpat/top.py +++ b/fuzzers/100-dsp-mskpat/top.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random import json diff --git a/fuzzers/Makefile b/fuzzers/Makefile index c880ed241..c09f6b238 100644 --- a/fuzzers/Makefile +++ b/fuzzers/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC SELF_DIR := $(dir $(lastword $(MAKEFILE_LIST))) # Developer options for quicker runs diff --git a/fuzzers/int_create_empty_db.sh b/fuzzers/int_create_empty_db.sh index b33e2dae4..b5fd6e3e7 100644 --- a/fuzzers/int_create_empty_db.sh +++ b/fuzzers/int_create_empty_db.sh @@ -1,4 +1,11 @@ #!/usr/bin/env bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC touch build/database/${XRAY_DATABASE}/segbits_clblm_l.db touch build/database/${XRAY_DATABASE}/segbits_clblm_r.db diff --git a/fuzzers/int_generate.py b/fuzzers/int_generate.py index 67e1bb4c7..f5c98030e 100644 --- a/fuzzers/int_generate.py +++ b/fuzzers/int_generate.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from __future__ import print_function diff --git a/fuzzers/int_loop.sh b/fuzzers/int_loop.sh index 5bd9b118b..c6616704e 100755 --- a/fuzzers/int_loop.sh +++ b/fuzzers/int_loop.sh @@ -1,4 +1,11 @@ #!/usr/bin/env bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC usage() { echo "Run makefile until termination condition" diff --git a/fuzzers/int_loop_check.py b/fuzzers/int_loop_check.py index a59bdc7c6..4a1866b5f 100644 --- a/fuzzers/int_loop_check.py +++ b/fuzzers/int_loop_check.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from __future__ import print_function import sys, re diff --git a/fuzzers/int_maketodo.py b/fuzzers/int_maketodo.py index a2b651aeb..754eb24b0 100644 --- a/fuzzers/int_maketodo.py +++ b/fuzzers/int_maketodo.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os, re from prjxray import util diff --git a/fuzzers/piplist/piplist.tcl b/fuzzers/piplist/piplist.tcl index 70f67ba1a..e2ed5075b 100644 --- a/fuzzers/piplist/piplist.tcl +++ b/fuzzers/piplist/piplist.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "$::env(XRAY_DIR)/utils/utils.tcl" proc build_project {} { diff --git a/fuzzers/reseg.sh b/fuzzers/reseg.sh index b559c2476..1ea81dcee 100755 --- a/fuzzers/reseg.sh +++ b/fuzzers/reseg.sh @@ -1,4 +1,11 @@ #!/usr/bin/env bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # Development script to re-run segment generation on specimens # Works against most but not all fuzzers diff --git a/fuzzers/run_fuzzer.py b/fuzzers/run_fuzzer.py index 70870d9d5..959243b3e 100755 --- a/fuzzers/run_fuzzer.py +++ b/fuzzers/run_fuzzer.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from datetime import datetime, timedelta diff --git a/gridinfo/gridinfo-txt2json.py b/gridinfo/gridinfo-txt2json.py index 8d8454834..6394f3594 100644 --- a/gridinfo/gridinfo-txt2json.py +++ b/gridinfo/gridinfo-txt2json.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import sys import json diff --git a/gridinfo/logicframes.tcl b/gridinfo/logicframes.tcl index debe075f1..ec57df25f 100644 --- a/gridinfo/logicframes.tcl +++ b/gridinfo/logicframes.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set sites [get_sites -filter {(SITE_TYPE == "SLICEL" || SITE_TYPE == "SLICEM") && (NAME =~ "*Y0" || NAME =~ "*Y50" || NAME =~ "*Y?00" || NAME =~ "*Y?50")}] diff --git a/gridinfo/runme.sh b/gridinfo/runme.sh index 41aa4f32f..a3edc2a27 100755 --- a/gridinfo/runme.sh +++ b/gridinfo/runme.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex diff --git a/gridinfo/tiledata.tcl b/gridinfo/tiledata.tcl index eef28b2da..ccf2b0c7c 100644 --- a/gridinfo/tiledata.tcl +++ b/gridinfo/tiledata.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC foreach tile [get_tiles] { foreach prop [list_property $tile] { diff --git a/htmlgen/htmlgen.py b/htmlgen/htmlgen.py index 6cb3e7c55..726bc3665 100755 --- a/htmlgen/htmlgen.py +++ b/htmlgen/htmlgen.py @@ -1,4 +1,14 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC + # https://symbiflow.github.io/prjxray-db/ # https://symbiflow.github.io/prjxray-db/artix7/ diff --git a/htmlgen/htmlgen.sh b/htmlgen/htmlgen.sh index 8f59e8495..9b6fc93e1 100755 --- a/htmlgen/htmlgen.sh +++ b/htmlgen/htmlgen.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC DATABASE=$1 diff --git a/lib/big_endian_span_test.cc b/lib/big_endian_span_test.cc index dcc673ab3..70c97212a 100644 --- a/lib/big_endian_span_test.cc +++ b/lib/big_endian_span_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/bit_ops_test.cc b/lib/bit_ops_test.cc index 183777852..ede093bf1 100644 --- a/lib/bit_ops_test.cc +++ b/lib/bit_ops_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/database.cc b/lib/database.cc index 6fac62dbf..e9d767bf7 100644 --- a/lib/database.cc +++ b/lib/database.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/include/prjxray/big_endian_span.h b/lib/include/prjxray/big_endian_span.h index 683f9c3f6..7f3ee52ba 100644 --- a/lib/include/prjxray/big_endian_span.h +++ b/lib/include/prjxray/big_endian_span.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_BIG_ENDIAN_SPAN #define PRJXRAY_LIB_BIG_ENDIAN_SPAN diff --git a/lib/include/prjxray/bit_ops.h b/lib/include/prjxray/bit_ops.h index c7d3fa8be..605cd7b28 100644 --- a/lib/include/prjxray/bit_ops.h +++ b/lib/include/prjxray/bit_ops.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_BIT_OPS_H #define PRJXRAY_LIB_BIT_OPS_H diff --git a/lib/include/prjxray/database.h b/lib/include/prjxray/database.h index 76b10935d..2399d7974 100644 --- a/lib/include/prjxray/database.h +++ b/lib/include/prjxray/database.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_DATABASE_H #define PRJXRAY_LIB_DATABASE_H diff --git a/lib/include/prjxray/memory_mapped_file.h b/lib/include/prjxray/memory_mapped_file.h index 9a944b703..6aeb82108 100644 --- a/lib/include/prjxray/memory_mapped_file.h +++ b/lib/include/prjxray/memory_mapped_file.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_MEMORY_MAPPED_FILE #define PRJXRAY_LIB_MEMORY_MAPPED_FILE diff --git a/lib/include/prjxray/segbits_file_reader.h b/lib/include/prjxray/segbits_file_reader.h index 19c4f51ad..8635acb06 100644 --- a/lib/include/prjxray/segbits_file_reader.h +++ b/lib/include/prjxray/segbits_file_reader.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_SEGBITS_FILE_READER_H #define PRJXRAY_LIB_SEGBITS_FILE_READER_H diff --git a/lib/include/prjxray/xilinx/architectures.h b/lib/include/prjxray/xilinx/architectures.h index cdf992e65..60087f3f9 100644 --- a/lib/include/prjxray/xilinx/architectures.h +++ b/lib/include/prjxray/xilinx/architectures.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_ARCHITECTURES_H_ #define PRJXRAY_LIB_XILINX_ARCHITECTURES_H_ diff --git a/lib/include/prjxray/xilinx/bitstream_reader.h b/lib/include/prjxray/xilinx/bitstream_reader.h index 5464a5200..bae16bc1b 100644 --- a/lib/include/prjxray/xilinx/bitstream_reader.h +++ b/lib/include/prjxray/xilinx/bitstream_reader.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_BITSTREAM_READER_H #define PRJXRAY_LIB_XILINX_BITSTREAM_READER_H diff --git a/lib/include/prjxray/xilinx/bitstream_writer.h b/lib/include/prjxray/xilinx/bitstream_writer.h index 09d69f452..81cddaf4f 100644 --- a/lib/include/prjxray/xilinx/bitstream_writer.h +++ b/lib/include/prjxray/xilinx/bitstream_writer.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ /* * Takes in a collection of ConfigurationPacket and writes them to specified * file This includes the following: -Bus auto detection -Sync Word -FPGA diff --git a/lib/include/prjxray/xilinx/configuration.h b/lib/include/prjxray/xilinx/configuration.h index 6236345ce..89fad6133 100644 --- a/lib/include/prjxray/xilinx/configuration.h +++ b/lib/include/prjxray/xilinx/configuration.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_CONFIGURATION_H_ #define PRJXRAY_LIB_XILINX_CONFIGURATION_H_ diff --git a/lib/include/prjxray/xilinx/configuration_packet.h b/lib/include/prjxray/xilinx/configuration_packet.h index 4df210525..4940ee0fc 100644 --- a/lib/include/prjxray/xilinx/configuration_packet.h +++ b/lib/include/prjxray/xilinx/configuration_packet.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_CONFIGURATION_PACKET_H #define PRJXRAY_LIB_XILINX_CONFIGURATION_PACKET_H diff --git a/lib/include/prjxray/xilinx/configuration_packet_with_payload.h b/lib/include/prjxray/xilinx/configuration_packet_with_payload.h index 056193867..f5bac5f09 100644 --- a/lib/include/prjxray/xilinx/configuration_packet_with_payload.h +++ b/lib/include/prjxray/xilinx/configuration_packet_with_payload.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_CONFIGURATION_PACKET_WITH_PAYLOAD_H #define PRJXRAY_LIB_XILINX_CONFIGURATION_PACKET_WITH_PAYLOAD_H diff --git a/lib/include/prjxray/xilinx/configuration_register.h b/lib/include/prjxray/xilinx/configuration_register.h index 0699f6cc3..93e292e70 100644 --- a/lib/include/prjxray/xilinx/configuration_register.h +++ b/lib/include/prjxray/xilinx/configuration_register.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_CONFIGURATION_REGISTER_H_ #define PRJXRAY_LIB_XILINX_CONFIGURATION_REGISTER_H_ diff --git a/lib/include/prjxray/xilinx/frames.h b/lib/include/prjxray/xilinx/frames.h index f4a96f1dc..ceb0950f3 100644 --- a/lib/include/prjxray/xilinx/frames.h +++ b/lib/include/prjxray/xilinx/frames.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_FRAMES_H #define PRJXRAY_LIB_XILINX_FRAMES_H diff --git a/lib/include/prjxray/xilinx/nop_packet.h b/lib/include/prjxray/xilinx/nop_packet.h index 6f89e84d3..aec8ae1e3 100644 --- a/lib/include/prjxray/xilinx/nop_packet.h +++ b/lib/include/prjxray/xilinx/nop_packet.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_NOP_PACKET_H #define PRJXRAY_LIB_XILINX_NOP_PACKET_H diff --git a/lib/include/prjxray/xilinx/spartan6/block_type.h b/lib/include/prjxray/xilinx/spartan6/block_type.h index a42a8db48..fdaa1d847 100644 --- a/lib/include/prjxray/xilinx/spartan6/block_type.h +++ b/lib/include/prjxray/xilinx/spartan6/block_type.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_SPARTAN6_BLOCK_TYPE_H_ #define PRJXRAY_LIB_XILINX_SPARTAN6_BLOCK_TYPE_H_ diff --git a/lib/include/prjxray/xilinx/spartan6/command.h b/lib/include/prjxray/xilinx/spartan6/command.h index 93bafdb15..09c894bfc 100644 --- a/lib/include/prjxray/xilinx/spartan6/command.h +++ b/lib/include/prjxray/xilinx/spartan6/command.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_SPARTAN6_COMMAND_H_ #define PRJXRAY_LIB_XILINX_SPARTAN6_COMMAND_H_ diff --git a/lib/include/prjxray/xilinx/spartan6/configuration_bus.h b/lib/include/prjxray/xilinx/spartan6/configuration_bus.h index a5d0081b8..c81597fd5 100644 --- a/lib/include/prjxray/xilinx/spartan6/configuration_bus.h +++ b/lib/include/prjxray/xilinx/spartan6/configuration_bus.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_SPARTAN6_CONFIGURATION_BUS_H_ #define PRJXRAY_LIB_XILINX_SPARTAN6_CONFIGURATION_BUS_H_ diff --git a/lib/include/prjxray/xilinx/spartan6/configuration_column.h b/lib/include/prjxray/xilinx/spartan6/configuration_column.h index af8edd638..859ba2636 100644 --- a/lib/include/prjxray/xilinx/spartan6/configuration_column.h +++ b/lib/include/prjxray/xilinx/spartan6/configuration_column.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_SPARTAN6_CONFIGURATION_COLUMN_H_ #define PRJXRAY_LIB_XILINX_SPARTAN6_CONFIGURATION_COLUMN_H_ diff --git a/lib/include/prjxray/xilinx/spartan6/configuration_row.h b/lib/include/prjxray/xilinx/spartan6/configuration_row.h index a2b267ebd..2b766b2d0 100644 --- a/lib/include/prjxray/xilinx/spartan6/configuration_row.h +++ b/lib/include/prjxray/xilinx/spartan6/configuration_row.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_SPARTAN6_ROW_H_ #define PRJXRAY_LIB_XILINX_SPARTAN6_ROW_H_ diff --git a/lib/include/prjxray/xilinx/spartan6/frame_address.h b/lib/include/prjxray/xilinx/spartan6/frame_address.h index 651d86af1..a060995e0 100644 --- a/lib/include/prjxray/xilinx/spartan6/frame_address.h +++ b/lib/include/prjxray/xilinx/spartan6/frame_address.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_SPARTAN6_FRAME_ADDRESS_H_ #define PRJXRAY_LIB_XILINX_SPARTAN6_FRAME_ADDRESS_H_ diff --git a/lib/include/prjxray/xilinx/spartan6/global_clock_region.h b/lib/include/prjxray/xilinx/spartan6/global_clock_region.h index bb0ce93a4..87eadb967 100644 --- a/lib/include/prjxray/xilinx/spartan6/global_clock_region.h +++ b/lib/include/prjxray/xilinx/spartan6/global_clock_region.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_SPARTAN6_GLOBAL_CLOCK_REGION_H_ #define PRJXRAY_LIB_XILINX_SPARTAN6_GLOBAL_CLOCK_REGION_H_ diff --git a/lib/include/prjxray/xilinx/spartan6/part.h b/lib/include/prjxray/xilinx/spartan6/part.h index df113848f..3f9029f53 100644 --- a/lib/include/prjxray/xilinx/spartan6/part.h +++ b/lib/include/prjxray/xilinx/spartan6/part.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_SPARTAN6_PART_H_ #define PRJXRAY_LIB_XILINX_SPARTAN6_PART_H_ diff --git a/lib/include/prjxray/xilinx/xc7series/block_type.h b/lib/include/prjxray/xilinx/xc7series/block_type.h index 400a19fc6..dbf15c0a4 100644 --- a/lib/include/prjxray/xilinx/xc7series/block_type.h +++ b/lib/include/prjxray/xilinx/xc7series/block_type.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_XC7SERIES_BLOCK_TYPE_H_ #define PRJXRAY_LIB_XILINX_XC7SERIES_BLOCK_TYPE_H_ diff --git a/lib/include/prjxray/xilinx/xc7series/command.h b/lib/include/prjxray/xilinx/xc7series/command.h index 2ff16e866..266056abb 100644 --- a/lib/include/prjxray/xilinx/xc7series/command.h +++ b/lib/include/prjxray/xilinx/xc7series/command.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_XC7SERIES_COMMAND_H_ #define PRJXRAY_LIB_XILINX_XC7SERIES_COMMAND_H_ diff --git a/lib/include/prjxray/xilinx/xc7series/configuration_bus.h b/lib/include/prjxray/xilinx/xc7series/configuration_bus.h index 33e9619e1..0b9a77a13 100644 --- a/lib/include/prjxray/xilinx/xc7series/configuration_bus.h +++ b/lib/include/prjxray/xilinx/xc7series/configuration_bus.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_XC7SERIES_CONFIGURATION_BUS_H_ #define PRJXRAY_LIB_XILINX_XC7SERIES_CONFIGURATION_BUS_H_ diff --git a/lib/include/prjxray/xilinx/xc7series/configuration_column.h b/lib/include/prjxray/xilinx/xc7series/configuration_column.h index b15415e2a..35efd365d 100644 --- a/lib/include/prjxray/xilinx/xc7series/configuration_column.h +++ b/lib/include/prjxray/xilinx/xc7series/configuration_column.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_XC7SERIES_CONFIGURATION_COLUMN_H_ #define PRJXRAY_LIB_XILINX_XC7SERIES_CONFIGURATION_COLUMN_H_ diff --git a/lib/include/prjxray/xilinx/xc7series/configuration_options_0_value.h b/lib/include/prjxray/xilinx/xc7series/configuration_options_0_value.h index 06307378f..d7c2cb55f 100644 --- a/lib/include/prjxray/xilinx/xc7series/configuration_options_0_value.h +++ b/lib/include/prjxray/xilinx/xc7series/configuration_options_0_value.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_XC7SERIES_CONFIGURATION_OPTIONS_0_VALUE_H #define PRJXRAY_LIB_XILINX_XC7SERIES_CONFIGURATION_OPTIONS_0_VALUE_H diff --git a/lib/include/prjxray/xilinx/xc7series/configuration_row.h b/lib/include/prjxray/xilinx/xc7series/configuration_row.h index 4cb7f567b..700439906 100644 --- a/lib/include/prjxray/xilinx/xc7series/configuration_row.h +++ b/lib/include/prjxray/xilinx/xc7series/configuration_row.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_XC7SERIES_ROW_H_ #define PRJXRAY_LIB_XILINX_XC7SERIES_ROW_H_ diff --git a/lib/include/prjxray/xilinx/xc7series/crc.h b/lib/include/prjxray/xilinx/xc7series/crc.h index df6bcac8d..3aaac1ba5 100644 --- a/lib/include/prjxray/xilinx/xc7series/crc.h +++ b/lib/include/prjxray/xilinx/xc7series/crc.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_XC7SERIES_CRC_H_ #define PRJXRAY_LIB_XILINX_XC7SERIES_CRC_H_ diff --git a/lib/include/prjxray/xilinx/xc7series/ecc.h b/lib/include/prjxray/xilinx/xc7series/ecc.h index 63513fd9f..7d832d2e7 100644 --- a/lib/include/prjxray/xilinx/xc7series/ecc.h +++ b/lib/include/prjxray/xilinx/xc7series/ecc.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_XC7SERIES_ECC_H_ #define PRJXRAY_LIB_XILINX_XC7SERIES_ECC_H_ diff --git a/lib/include/prjxray/xilinx/xc7series/frame_address.h b/lib/include/prjxray/xilinx/xc7series/frame_address.h index c15b87f4d..6247f534b 100644 --- a/lib/include/prjxray/xilinx/xc7series/frame_address.h +++ b/lib/include/prjxray/xilinx/xc7series/frame_address.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_XC7SERIES_FRAME_ADDRESS_H_ #define PRJXRAY_LIB_XILINX_XC7SERIES_FRAME_ADDRESS_H_ diff --git a/lib/include/prjxray/xilinx/xc7series/global_clock_region.h b/lib/include/prjxray/xilinx/xc7series/global_clock_region.h index 8d791a256..83e81fdaf 100644 --- a/lib/include/prjxray/xilinx/xc7series/global_clock_region.h +++ b/lib/include/prjxray/xilinx/xc7series/global_clock_region.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_XC7SERIES_GLOBAL_CLOCK_REGION_H_ #define PRJXRAY_LIB_XILINX_XC7SERIES_GLOBAL_CLOCK_REGION_H_ diff --git a/lib/include/prjxray/xilinx/xc7series/part.h b/lib/include/prjxray/xilinx/xc7series/part.h index 90847e44d..e063fb5ec 100644 --- a/lib/include/prjxray/xilinx/xc7series/part.h +++ b/lib/include/prjxray/xilinx/xc7series/part.h @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #ifndef PRJXRAY_LIB_XILINX_XC7SERIES_PART_H_ #define PRJXRAY_LIB_XILINX_XC7SERIES_PART_H_ diff --git a/lib/memory_mapped_file.cc b/lib/memory_mapped_file.cc index e6ada9074..1b5204d83 100644 --- a/lib/memory_mapped_file.cc +++ b/lib/memory_mapped_file.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/memory_mapped_file_test.cc b/lib/memory_mapped_file_test.cc index 6a4477d6f..ab925a6ba 100644 --- a/lib/memory_mapped_file_test.cc +++ b/lib/memory_mapped_file_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/segbits_file_reader.cc b/lib/segbits_file_reader.cc index b06b674ed..1837ca66d 100644 --- a/lib/segbits_file_reader.cc +++ b/lib/segbits_file_reader.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include namespace prjxray { diff --git a/lib/segbits_file_reader_test.cc b/lib/segbits_file_reader_test.cc index 3ac90e173..cc2b62993 100644 --- a/lib/segbits_file_reader_test.cc +++ b/lib/segbits_file_reader_test.cc @@ -1,3 +1,13 @@ +/* + * + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/bitstream_writer.cc b/lib/xilinx/bitstream_writer.cc index 809c06f16..fe06664fd 100644 --- a/lib/xilinx/bitstream_writer.cc +++ b/lib/xilinx/bitstream_writer.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/configuration.cc b/lib/xilinx/configuration.cc index d7d6626c8..881d9cd25 100644 --- a/lib/xilinx/configuration.cc +++ b/lib/xilinx/configuration.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/configuration_packet.cc b/lib/xilinx/configuration_packet.cc index 14d66b0b7..a0832bb63 100644 --- a/lib/xilinx/configuration_packet.cc +++ b/lib/xilinx/configuration_packet.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/configuration_register.cc b/lib/xilinx/configuration_register.cc index 2e49c5418..f3f0f2ff5 100644 --- a/lib/xilinx/configuration_register.cc +++ b/lib/xilinx/configuration_register.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include namespace prjxray { diff --git a/lib/xilinx/frames.cc b/lib/xilinx/frames.cc index 616c72325..5f36f6f50 100644 --- a/lib/xilinx/frames.cc +++ b/lib/xilinx/frames.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/spartan6/block_type.cc b/lib/xilinx/spartan6/block_type.cc index 440597298..5b2a9067c 100644 --- a/lib/xilinx/spartan6/block_type.cc +++ b/lib/xilinx/spartan6/block_type.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include namespace prjxray { diff --git a/lib/xilinx/spartan6/configuration_bus.cc b/lib/xilinx/spartan6/configuration_bus.cc index 920efd5c2..4d6d8f19d 100644 --- a/lib/xilinx/spartan6/configuration_bus.cc +++ b/lib/xilinx/spartan6/configuration_bus.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/spartan6/configuration_column.cc b/lib/xilinx/spartan6/configuration_column.cc index dcc8422a7..3d9caa32e 100644 --- a/lib/xilinx/spartan6/configuration_column.cc +++ b/lib/xilinx/spartan6/configuration_column.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include namespace prjxray { diff --git a/lib/xilinx/spartan6/configuration_row.cc b/lib/xilinx/spartan6/configuration_row.cc index bb347e721..c57639807 100644 --- a/lib/xilinx/spartan6/configuration_row.cc +++ b/lib/xilinx/spartan6/configuration_row.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include namespace prjxray { diff --git a/lib/xilinx/spartan6/frame_address.cc b/lib/xilinx/spartan6/frame_address.cc index ff5bceeb7..601e35813 100644 --- a/lib/xilinx/spartan6/frame_address.cc +++ b/lib/xilinx/spartan6/frame_address.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/spartan6/global_clock_region.cc b/lib/xilinx/spartan6/global_clock_region.cc index 55e194e87..8af9ed74c 100644 --- a/lib/xilinx/spartan6/global_clock_region.cc +++ b/lib/xilinx/spartan6/global_clock_region.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include namespace prjxray { diff --git a/lib/xilinx/spartan6/part.cc b/lib/xilinx/spartan6/part.cc index b74aff545..a8215e35f 100644 --- a/lib/xilinx/spartan6/part.cc +++ b/lib/xilinx/spartan6/part.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/spartan6/bitstream_reader_test.cc b/lib/xilinx/tests/spartan6/bitstream_reader_test.cc index 4f807bd4e..248d77a10 100644 --- a/lib/xilinx/tests/spartan6/bitstream_reader_test.cc +++ b/lib/xilinx/tests/spartan6/bitstream_reader_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/spartan6/bitstream_writer_test.cc b/lib/xilinx/tests/spartan6/bitstream_writer_test.cc index 7331f59d5..bb869ab1a 100644 --- a/lib/xilinx/tests/spartan6/bitstream_writer_test.cc +++ b/lib/xilinx/tests/spartan6/bitstream_writer_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/spartan6/block_type_test.cc b/lib/xilinx/tests/spartan6/block_type_test.cc index 47962427f..f764d023c 100644 --- a/lib/xilinx/tests/spartan6/block_type_test.cc +++ b/lib/xilinx/tests/spartan6/block_type_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/spartan6/configuration_bus_test.cc b/lib/xilinx/tests/spartan6/configuration_bus_test.cc index 61f1a49a6..04834a852 100644 --- a/lib/xilinx/tests/spartan6/configuration_bus_test.cc +++ b/lib/xilinx/tests/spartan6/configuration_bus_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/spartan6/configuration_column_test.cc b/lib/xilinx/tests/spartan6/configuration_column_test.cc index 8b2b48dfa..229322bfd 100644 --- a/lib/xilinx/tests/spartan6/configuration_column_test.cc +++ b/lib/xilinx/tests/spartan6/configuration_column_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/spartan6/configuration_packet_test.cc b/lib/xilinx/tests/spartan6/configuration_packet_test.cc index 1a132671c..5494bd8cc 100644 --- a/lib/xilinx/tests/spartan6/configuration_packet_test.cc +++ b/lib/xilinx/tests/spartan6/configuration_packet_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/spartan6/configuration_test.cc b/lib/xilinx/tests/spartan6/configuration_test.cc index c8ee77fa6..522438195 100644 --- a/lib/xilinx/tests/spartan6/configuration_test.cc +++ b/lib/xilinx/tests/spartan6/configuration_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include #include diff --git a/lib/xilinx/tests/spartan6/frame_address_test.cc b/lib/xilinx/tests/spartan6/frame_address_test.cc index 4633c2086..697e81b15 100644 --- a/lib/xilinx/tests/spartan6/frame_address_test.cc +++ b/lib/xilinx/tests/spartan6/frame_address_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/spartan6/frames_test.cc b/lib/xilinx/tests/spartan6/frames_test.cc index eec07507f..d74944722 100644 --- a/lib/xilinx/tests/spartan6/frames_test.cc +++ b/lib/xilinx/tests/spartan6/frames_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/spartan6/global_clock_region_test.cc b/lib/xilinx/tests/spartan6/global_clock_region_test.cc index b38e11a42..7c79fe720 100644 --- a/lib/xilinx/tests/spartan6/global_clock_region_test.cc +++ b/lib/xilinx/tests/spartan6/global_clock_region_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/spartan6/part_test.cc b/lib/xilinx/tests/spartan6/part_test.cc index 83939d29c..49ea8fb18 100644 --- a/lib/xilinx/tests/spartan6/part_test.cc +++ b/lib/xilinx/tests/spartan6/part_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/spartan6/row_test.cc b/lib/xilinx/tests/spartan6/row_test.cc index 735bc1d25..92a870d8b 100644 --- a/lib/xilinx/tests/spartan6/row_test.cc +++ b/lib/xilinx/tests/spartan6/row_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/xc7series/bitstream_reader_test.cc b/lib/xilinx/tests/xc7series/bitstream_reader_test.cc index df576ca59..409ebb34d 100644 --- a/lib/xilinx/tests/xc7series/bitstream_reader_test.cc +++ b/lib/xilinx/tests/xc7series/bitstream_reader_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/xc7series/bitstream_writer_test.cc b/lib/xilinx/tests/xc7series/bitstream_writer_test.cc index 031540d51..f17ad62f6 100644 --- a/lib/xilinx/tests/xc7series/bitstream_writer_test.cc +++ b/lib/xilinx/tests/xc7series/bitstream_writer_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/xc7series/block_type_test.cc b/lib/xilinx/tests/xc7series/block_type_test.cc index 6fc8ecc9b..643e90b9d 100644 --- a/lib/xilinx/tests/xc7series/block_type_test.cc +++ b/lib/xilinx/tests/xc7series/block_type_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/xc7series/configuration_bus_test.cc b/lib/xilinx/tests/xc7series/configuration_bus_test.cc index c665d9dfe..c58848bac 100644 --- a/lib/xilinx/tests/xc7series/configuration_bus_test.cc +++ b/lib/xilinx/tests/xc7series/configuration_bus_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/xc7series/configuration_column_test.cc b/lib/xilinx/tests/xc7series/configuration_column_test.cc index 49f49207f..b2d2ca30d 100644 --- a/lib/xilinx/tests/xc7series/configuration_column_test.cc +++ b/lib/xilinx/tests/xc7series/configuration_column_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/xc7series/configuration_packet_test.cc b/lib/xilinx/tests/xc7series/configuration_packet_test.cc index 1658f142d..d22445047 100644 --- a/lib/xilinx/tests/xc7series/configuration_packet_test.cc +++ b/lib/xilinx/tests/xc7series/configuration_packet_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/xc7series/configuration_test.cc b/lib/xilinx/tests/xc7series/configuration_test.cc index 0c27d58b1..12917786b 100644 --- a/lib/xilinx/tests/xc7series/configuration_test.cc +++ b/lib/xilinx/tests/xc7series/configuration_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include #include diff --git a/lib/xilinx/tests/xc7series/crc_test.cc b/lib/xilinx/tests/xc7series/crc_test.cc index 6a7113565..5b9f97bfe 100644 --- a/lib/xilinx/tests/xc7series/crc_test.cc +++ b/lib/xilinx/tests/xc7series/crc_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/xc7series/ecc_test.cc b/lib/xilinx/tests/xc7series/ecc_test.cc index eb3b4da1f..f2ed099d6 100644 --- a/lib/xilinx/tests/xc7series/ecc_test.cc +++ b/lib/xilinx/tests/xc7series/ecc_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/xc7series/frame_address_test.cc b/lib/xilinx/tests/xc7series/frame_address_test.cc index 70c1f5938..5680526f2 100644 --- a/lib/xilinx/tests/xc7series/frame_address_test.cc +++ b/lib/xilinx/tests/xc7series/frame_address_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/xc7series/frames_test.cc b/lib/xilinx/tests/xc7series/frames_test.cc index 3cf4525b9..8b0f04ec8 100644 --- a/lib/xilinx/tests/xc7series/frames_test.cc +++ b/lib/xilinx/tests/xc7series/frames_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/xc7series/global_clock_region_test.cc b/lib/xilinx/tests/xc7series/global_clock_region_test.cc index 459789383..1ce55a69d 100644 --- a/lib/xilinx/tests/xc7series/global_clock_region_test.cc +++ b/lib/xilinx/tests/xc7series/global_clock_region_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/xc7series/part_test.cc b/lib/xilinx/tests/xc7series/part_test.cc index 16b7e99c5..7a7a4bfda 100644 --- a/lib/xilinx/tests/xc7series/part_test.cc +++ b/lib/xilinx/tests/xc7series/part_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/tests/xc7series/row_test.cc b/lib/xilinx/tests/xc7series/row_test.cc index e8f73180c..ee88004fd 100644 --- a/lib/xilinx/tests/xc7series/row_test.cc +++ b/lib/xilinx/tests/xc7series/row_test.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/xc7series/block_type.cc b/lib/xilinx/xc7series/block_type.cc index d74537f2b..8a20aa193 100644 --- a/lib/xilinx/xc7series/block_type.cc +++ b/lib/xilinx/xc7series/block_type.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include namespace prjxray { diff --git a/lib/xilinx/xc7series/configuration_bus.cc b/lib/xilinx/xc7series/configuration_bus.cc index e0a2105d1..a479662bc 100644 --- a/lib/xilinx/xc7series/configuration_bus.cc +++ b/lib/xilinx/xc7series/configuration_bus.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/xc7series/configuration_column.cc b/lib/xilinx/xc7series/configuration_column.cc index f97938399..a77f2e73f 100644 --- a/lib/xilinx/xc7series/configuration_column.cc +++ b/lib/xilinx/xc7series/configuration_column.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include namespace prjxray { diff --git a/lib/xilinx/xc7series/configuration_row.cc b/lib/xilinx/xc7series/configuration_row.cc index 39ef9f4fe..2a168911b 100644 --- a/lib/xilinx/xc7series/configuration_row.cc +++ b/lib/xilinx/xc7series/configuration_row.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include namespace prjxray { diff --git a/lib/xilinx/xc7series/ecc.cc b/lib/xilinx/xc7series/ecc.cc index a3a5cb222..1b24a46c8 100644 --- a/lib/xilinx/xc7series/ecc.cc +++ b/lib/xilinx/xc7series/ecc.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include #include diff --git a/lib/xilinx/xc7series/frame_address.cc b/lib/xilinx/xc7series/frame_address.cc index a4ae2585d..c76b2af81 100644 --- a/lib/xilinx/xc7series/frame_address.cc +++ b/lib/xilinx/xc7series/frame_address.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/lib/xilinx/xc7series/global_clock_region.cc b/lib/xilinx/xc7series/global_clock_region.cc index 638cb4612..6a025f1e6 100644 --- a/lib/xilinx/xc7series/global_clock_region.cc +++ b/lib/xilinx/xc7series/global_clock_region.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include namespace prjxray { diff --git a/lib/xilinx/xc7series/part.cc b/lib/xilinx/xc7series/part.cc index 6bfd139e5..549e3363b 100644 --- a/lib/xilinx/xc7series/part.cc +++ b/lib/xilinx/xc7series/part.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/minitests/bram-sdp/Makefile b/minitests/bram-sdp/Makefile index 4609fa5f7..31420f237 100644 --- a/minitests/bram-sdp/Makefile +++ b/minitests/bram-sdp/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC all: mkdir -p build cd build && bash ../runme.sh diff --git a/minitests/bram-sdp/runme.sh b/minitests/bram-sdp/runme.sh index 6d23cb431..7d74925e0 100755 --- a/minitests/bram-sdp/runme.sh +++ b/minitests/bram-sdp/runme.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex ${XRAY_VIVADO} -mode batch -source ../runme.tcl diff --git a/minitests/bram-sdp/runme.tcl b/minitests/bram-sdp/runme.tcl index 9656f2aa8..315908b28 100644 --- a/minitests/bram-sdp/runme.tcl +++ b/minitests/bram-sdp/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog ../top.v synth_design -top top -flatten_hierarchy none diff --git a/minitests/clb-bused/Makefile b/minitests/clb-bused/Makefile index 1d13e215d..2e24ec41a 100644 --- a/minitests/clb-bused/Makefile +++ b/minitests/clb-bused/Makefile @@ -1 +1,8 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC include ../util/common.mk diff --git a/minitests/clb-carry_cin_cyinit/runme.tcl b/minitests/clb-carry_cin_cyinit/runme.tcl index be080be37..2ad7f3284 100644 --- a/minitests/clb-carry_cin_cyinit/runme.tcl +++ b/minitests/clb-carry_cin_cyinit/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/minitests/clb-configs/runme.sh b/minitests/clb-configs/runme.sh index a3194ba38..71d2c031b 100755 --- a/minitests/clb-configs/runme.sh +++ b/minitests/clb-configs/runme.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex ${XRAY_VIVADO} -mode batch -source runme.tcl diff --git a/minitests/clb-configs/runme.tcl b/minitests/clb-configs/runme.tcl index 89ff5bf3f..640b8ea3a 100644 --- a/minitests/clb-configs/runme.tcl +++ b/minitests/clb-configs/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/minitests/clb-muxf8/Makefile b/minitests/clb-muxf8/Makefile index 1d13e215d..2e24ec41a 100644 --- a/minitests/clb-muxf8/Makefile +++ b/minitests/clb-muxf8/Makefile @@ -1 +1,8 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC include ../util/common.mk diff --git a/minitests/clkbuf/runme.sh b/minitests/clkbuf/runme.sh index 1e8c5fc9c..2a4f04281 100755 --- a/minitests/clkbuf/runme.sh +++ b/minitests/clkbuf/runme.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex ${XRAY_VIVADO} -mode batch -source runme.tcl diff --git a/minitests/clkbuf/runme.tcl b/minitests/clkbuf/runme.tcl index 68383375b..875e75df0 100644 --- a/minitests/clkbuf/runme.tcl +++ b/minitests/clkbuf/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/minitests/eccbits/runme.sh b/minitests/eccbits/runme.sh index bfccea976..ab7f75025 100755 --- a/minitests/eccbits/runme.sh +++ b/minitests/eccbits/runme.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex ${XRAY_VIVADO} -mode batch -source runme.tcl diff --git a/minitests/eccbits/runme.tcl b/minitests/eccbits/runme.tcl index d06497849..b31249014 100644 --- a/minitests/eccbits/runme.tcl +++ b/minitests/eccbits/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/minitests/fixedpnr/runme.sh b/minitests/fixedpnr/runme.sh index 277e03d2f..5a844fa1c 100755 --- a/minitests/fixedpnr/runme.sh +++ b/minitests/fixedpnr/runme.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex ${XRAY_VIVADO} -mode batch -source runme.tcl diff --git a/minitests/fixedpnr/runme.tcl b/minitests/fixedpnr/runme.tcl index e71e07c07..2631e9871 100644 --- a/minitests/fixedpnr/runme.tcl +++ b/minitests/fixedpnr/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design_fdre design_fdre read_verilog top_fdre.v diff --git a/minitests/iostandard/dump_iobs.tcl b/minitests/iostandard/dump_iobs.tcl index c00cfe095..d322c90ec 100644 --- a/minitests/iostandard/dump_iobs.tcl +++ b/minitests/iostandard/dump_iobs.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc dump_iobs {file_name} { set fp [open $file_name w] diff --git a/minitests/iostandard/features/Makefile b/minitests/iostandard/features/Makefile index d8bf76b06..4c23c972c 100644 --- a/minitests/iostandard/features/Makefile +++ b/minitests/iostandard/features/Makefile @@ -1,4 +1,12 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC PART?=${XRAY_PART} +VIVADO_PART?=$(PART) BIT2FASM_ARGS= --part "$(XRAY_DIR)/database/$(XRAY_DATABASE)/$(PART)" --verbose diff --git a/minitests/iostandard/features/analyze.py b/minitests/iostandard/features/analyze.py index c18c04649..aa1eba011 100644 --- a/minitests/iostandard/features/analyze.py +++ b/minitests/iostandard/features/analyze.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ This script performs the analysis of disassembled bitstream and design information. It correlates presence/absence of particular fasm features in the bitstream diff --git a/minitests/iostandard/features/generate.py b/minitests/iostandard/features/generate.py index b938284d5..ff708cf9e 100644 --- a/minitests/iostandard/features/generate.py +++ b/minitests/iostandard/features/generate.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os import random import json diff --git a/minitests/iostandard/features/snippets.py b/minitests/iostandard/features/snippets.py index be8e5ea1e..cda6904e0 100644 --- a/minitests/iostandard/features/snippets.py +++ b/minitests/iostandard/features/snippets.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ This script generates code snippets for cell definition, technology mapping and VPR architecture definition. It reads correlation of IOB fasm features with diff --git a/minitests/iostandard/syn+par.tcl b/minitests/iostandard/syn+par.tcl index 363d760dd..f0175db07 100644 --- a/minitests/iostandard/syn+par.tcl +++ b/minitests/iostandard/syn+par.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part $env(PART) set_property SEVERITY {Warning} [get_drc_checks NSTD-1] diff --git a/minitests/iserdes.idelay/Makefile b/minitests/iserdes.idelay/Makefile index da95e0486..17166ba0e 100644 --- a/minitests/iserdes.idelay/Makefile +++ b/minitests/iserdes.idelay/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC SYNTH ?= vivado YOSYS = $(XRAY_DIR)/third_party/yosys/yosys PART = xc7a35tcsg324-1 diff --git a/minitests/iserdes.idelay/sim/run_icarus.sh b/minitests/iserdes.idelay/sim/run_icarus.sh index 545e7cc15..862023ce0 100755 --- a/minitests/iserdes.idelay/sim/run_icarus.sh +++ b/minitests/iserdes.idelay/sim/run_icarus.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -e diff --git a/minitests/iserdes.idelay/sim/run_vivado.sh b/minitests/iserdes.idelay/sim/run_vivado.sh index 9f56cfb07..77760933b 100755 --- a/minitests/iserdes.idelay/sim/run_vivado.sh +++ b/minitests/iserdes.idelay/sim/run_vivado.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -e diff --git a/minitests/iserdes.idelay/sim/sim.tcl b/minitests/iserdes.idelay/sim/sim.tcl index e185aa589..ef914f3c4 100644 --- a/minitests/iserdes.idelay/sim/sim.tcl +++ b/minitests/iserdes.idelay/sim/sim.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part xc7a35ticsg324-1L $::env(TESTBENCH_TITLE) $::env(TESTBENCH_TITLE) read_verilog $::env(TESTBENCH_TITLE).v diff --git a/minitests/iserdes.idelay/tcl/par.tcl b/minitests/iserdes.idelay/tcl/par.tcl index e198dd578..5aa97fba3 100644 --- a/minitests/iserdes.idelay/tcl/par.tcl +++ b/minitests/iserdes.idelay/tcl/par.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part xc7a35tcpg236-1 read_edif ../$env(PROJECT_NAME).edif diff --git a/minitests/iserdes.idelay/tcl/syn.tcl b/minitests/iserdes.idelay/tcl/syn.tcl index c4501ce6e..8d15c46db 100644 --- a/minitests/iserdes.idelay/tcl/syn.tcl +++ b/minitests/iserdes.idelay/tcl/syn.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part xc7a35tcpg236-1 read_verilog ../$env(PROJECT_NAME).v diff --git a/minitests/iserdes.idelay/utils/iserdes_idelay_histogram_receiver.py b/minitests/iserdes.idelay/utils/iserdes_idelay_histogram_receiver.py index afc48fffa..dca581c85 100755 --- a/minitests/iserdes.idelay/utils/iserdes_idelay_histogram_receiver.py +++ b/minitests/iserdes.idelay/utils/iserdes_idelay_histogram_receiver.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ This script receives and parses data generated by the "histogram" test design. """ diff --git a/minitests/iserdes.sdr_ddr/Makefile b/minitests/iserdes.sdr_ddr/Makefile index 05d7bfbdb..75d6f98d2 100644 --- a/minitests/iserdes.sdr_ddr/Makefile +++ b/minitests/iserdes.sdr_ddr/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC SYNTH ?= vivado YOSYS = $(XRAY_DIR)/third_party/yosys/yosys PART = xc7a35tcsg324-1 diff --git a/minitests/iserdes.sdr_ddr/sim/run_icarus.sh b/minitests/iserdes.sdr_ddr/sim/run_icarus.sh index ee54b06d8..8fbefdc4c 100755 --- a/minitests/iserdes.sdr_ddr/sim/run_icarus.sh +++ b/minitests/iserdes.sdr_ddr/sim/run_icarus.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -e diff --git a/minitests/iserdes.sdr_ddr/sim/run_vivado.sh b/minitests/iserdes.sdr_ddr/sim/run_vivado.sh index 9f56cfb07..77760933b 100755 --- a/minitests/iserdes.sdr_ddr/sim/run_vivado.sh +++ b/minitests/iserdes.sdr_ddr/sim/run_vivado.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -e diff --git a/minitests/iserdes.sdr_ddr/sim/sim.tcl b/minitests/iserdes.sdr_ddr/sim/sim.tcl index e185aa589..ef914f3c4 100644 --- a/minitests/iserdes.sdr_ddr/sim/sim.tcl +++ b/minitests/iserdes.sdr_ddr/sim/sim.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part xc7a35ticsg324-1L $::env(TESTBENCH_TITLE) $::env(TESTBENCH_TITLE) read_verilog $::env(TESTBENCH_TITLE).v diff --git a/minitests/iserdes.sdr_ddr/src/gen_rom.py b/minitests/iserdes.sdr_ddr/src/gen_rom.py index 5e9acc5f0..0af1b39f8 100755 --- a/minitests/iserdes.sdr_ddr/src/gen_rom.py +++ b/minitests/iserdes.sdr_ddr/src/gen_rom.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC ''' This script generates a verilog ROM module that contains data to be transmitted and received. The data is random. diff --git a/minitests/iserdes.sdr_ddr/tcl/par.tcl b/minitests/iserdes.sdr_ddr/tcl/par.tcl index 60d66e805..c8ef0401d 100644 --- a/minitests/iserdes.sdr_ddr/tcl/par.tcl +++ b/minitests/iserdes.sdr_ddr/tcl/par.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part xc7a35tcpg236-1 read_edif ../$env(PROJECT_NAME).edif diff --git a/minitests/iserdes.sdr_ddr/tcl/syn.tcl b/minitests/iserdes.sdr_ddr/tcl/syn.tcl index c4501ce6e..8d15c46db 100644 --- a/minitests/iserdes.sdr_ddr/tcl/syn.tcl +++ b/minitests/iserdes.sdr_ddr/tcl/syn.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part xc7a35tcpg236-1 read_verilog ../$env(PROJECT_NAME).v diff --git a/minitests/litex/min/arty/scripts/minilitex_arty.py b/minitests/litex/min/arty/scripts/minilitex_arty.py index a97571e31..a054a61cd 100755 --- a/minitests/litex/min/arty/scripts/minilitex_arty.py +++ b/minitests/litex/min/arty/scripts/minilitex_arty.py @@ -1,7 +1,13 @@ #!/usr/bin/env python3 - -# This file is Copyright (c) 2015-2019 Florent Kermarrec -# License: BSD +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import argparse diff --git a/minitests/litex/min/arty/src.vivado/Makefile b/minitests/litex/min/arty/src.vivado/Makefile index 26405183b..3ab5c2f7a 100644 --- a/minitests/litex/min/arty/src.vivado/Makefile +++ b/minitests/litex/min/arty/src.vivado/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export XRAY_PART=xc7a35tcsg324-1 export XRAY_PART_YAML=$(XRAY_DATABASE_DIR)/$(XRAY_DATABASE)/$(XRAY_PART).yaml SOURCES = ../verilog/mem.init ../verilog/mem_1.init ../verilog/mem_2.init ../verilog/top.v ../verilog/VexRiscv_Lite.v diff --git a/minitests/litex/min/arty/src.vivado/top.tcl b/minitests/litex/min/arty/src.vivado/top.tcl index bdcab95c0..110ebd9e2 100644 --- a/minitests/litex/min/arty/src.vivado/top.tcl +++ b/minitests/litex/min/arty/src.vivado/top.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name top -part $::env(XRAY_PART) set_msg_config -id {Common 17-55} -new_severity {Warning} read_verilog ../../verilog/VexRiscv_Lite.v diff --git a/minitests/litex/min/arty/src.yosys/Makefile b/minitests/litex/min/arty/src.yosys/Makefile index 1b391bd38..343211015 100644 --- a/minitests/litex/min/arty/src.yosys/Makefile +++ b/minitests/litex/min/arty/src.yosys/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export XRAY_PART = xc7a35tcsg324-1 export XRAY_PART_YAML = $(XRAY_DATABASE_DIR)/$(XRAY_DATABASE)/$(XRAY_PART).yaml YOSYS = $(XRAY_DIR)/third_party/yosys/yosys diff --git a/minitests/litex/min/arty/src.yosys/top.tcl b/minitests/litex/min/arty/src.yosys/top.tcl index 8968fc36f..bf3144c5a 100644 --- a/minitests/litex/min/arty/src.yosys/top.tcl +++ b/minitests/litex/min/arty/src.yosys/top.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc write_iobuf_report {filename} { set fp [open $filename w] puts $fp "{ \"tiles\": \[" diff --git a/minitests/litex/min_ddr/arty/scripts/min_arty.py b/minitests/litex/min_ddr/arty/scripts/min_arty.py index 7ec6191dd..6b48ecc40 100755 --- a/minitests/litex/min_ddr/arty/scripts/min_arty.py +++ b/minitests/litex/min_ddr/arty/scripts/min_arty.py @@ -1,7 +1,13 @@ #!/usr/bin/env python3 - -# This file is Copyright (c) 2015-2019 Florent Kermarrec -# License: BSD +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import argparse diff --git a/minitests/litex/min_ddr/arty/src.vivado/Makefile b/minitests/litex/min_ddr/arty/src.vivado/Makefile index d7d802a66..1fdff6f33 100644 --- a/minitests/litex/min_ddr/arty/src.vivado/Makefile +++ b/minitests/litex/min_ddr/arty/src.vivado/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC PART = xc7a35tcsg324-1 BIT2FASM_ARGS = --part "$(XRAY_DIR)/database/artix7/$(PART)" --verbose SOURCES = ../verilog/mem.init ../verilog/mem_1.init ../verilog/top.v ../verilog/VexRiscv_Lite.v diff --git a/minitests/litex/min_ddr/arty/src.vivado/top.tcl b/minitests/litex/min_ddr/arty/src.vivado/top.tcl index 26bd28e2d..2b7bda98e 100644 --- a/minitests/litex/min_ddr/arty/src.vivado/top.tcl +++ b/minitests/litex/min_ddr/arty/src.vivado/top.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name top -part xc7a35ticsg324-1L add_files {../../verilog/top.v} add_files {../../verilog/VexRiscv_Lite.v} diff --git a/minitests/litex/min_ddr/arty/src.yosys/Makefile b/minitests/litex/min_ddr/arty/src.yosys/Makefile index 12ca5b8f8..b99ef833c 100644 --- a/minitests/litex/min_ddr/arty/src.yosys/Makefile +++ b/minitests/litex/min_ddr/arty/src.yosys/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export XRAY_PART = xc7a35tcsg324-1 export XRAY_PART_YAML = $(XRAY_DATABASE_DIR)/$(XRAY_DATABASE)/$(XRAY_PART).yaml YOSYS = $(XRAY_DIR)/third_party/yosys/yosys diff --git a/minitests/litex/min_ddr/arty/src.yosys/missing_bit_report.py b/minitests/litex/min_ddr/arty/src.yosys/missing_bit_report.py index 4d4ec472f..28f48e4d1 100644 --- a/minitests/litex/min_ddr/arty/src.yosys/missing_bit_report.py +++ b/minitests/litex/min_ddr/arty/src.yosys/missing_bit_report.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ Generates a missing feature/bit report for LiteX design. This script is fairly fragile, because it depends on the specific observation diff --git a/minitests/litex/min_ddr/arty/src.yosys/top.tcl b/minitests/litex/min_ddr/arty/src.yosys/top.tcl index 5537f2f4b..7cb7e62d6 100644 --- a/minitests/litex/min_ddr/arty/src.yosys/top.tcl +++ b/minitests/litex/min_ddr/arty/src.yosys/top.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc write_iobuf_report {filename} { set fp [open $filename w] puts $fp "{ \"tiles\": \[" diff --git a/minitests/litex/src.vivado/Makefile b/minitests/litex/src.vivado/Makefile index e7a70341c..c2eec4b1d 100644 --- a/minitests/litex/src.vivado/Makefile +++ b/minitests/litex/src.vivado/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC PART = xc7a35tcsg324-1 BIT2FASM_ARGS = --part "$(XRAY_DIR)/database/artix7/$(PART)" --verbose SOURCES = mem.init mem_1.init top.v VexRiscv_Linux.v diff --git a/minitests/litex/src.vivado/top.tcl b/minitests/litex/src.vivado/top.tcl index 467bb53cc..3a86ee8d5 100644 --- a/minitests/litex/src.vivado/top.tcl +++ b/minitests/litex/src.vivado/top.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name top -part xc7a35ticsg324-1L add_files {../top.v} add_files {../VexRiscv_Linux.v} diff --git a/minitests/litex/src.yosys/Makefile b/minitests/litex/src.yosys/Makefile index 04a810bc3..6866de3d0 100644 --- a/minitests/litex/src.yosys/Makefile +++ b/minitests/litex/src.yosys/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC YOSYS = $(XRAY_DIR)/third_party/yosys/yosys PART = xc7a35tcsg324-1 BIT2FASM_ARGS = --part "$(XRAY_DIR)/database/artix7/$(PART)" --verbose diff --git a/minitests/litex/src.yosys/missing_bit_report.py b/minitests/litex/src.yosys/missing_bit_report.py index 4d4ec472f..28f48e4d1 100644 --- a/minitests/litex/src.yosys/missing_bit_report.py +++ b/minitests/litex/src.yosys/missing_bit_report.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ Generates a missing feature/bit report for LiteX design. This script is fairly fragile, because it depends on the specific observation diff --git a/minitests/litex/src.yosys/top.tcl b/minitests/litex/src.yosys/top.tcl index 5537f2f4b..7cb7e62d6 100644 --- a/minitests/litex/src.yosys/top.tcl +++ b/minitests/litex/src.yosys/top.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc write_iobuf_report {filename} { set fp [open $filename w] puts $fp "{ \"tiles\": \[" diff --git a/minitests/litex/uart_ddr/arty/scripts/Makefile b/minitests/litex/uart_ddr/arty/scripts/Makefile index 173f06765..c4f1d365c 100644 --- a/minitests/litex/uart_ddr/arty/scripts/Makefile +++ b/minitests/litex/uart_ddr/arty/scripts/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC litex/litex/tools/litex_client.py: git clone https://github.com/enjoy-digital/litex.git cd litex && git checkout 3350d33 && cd ../ diff --git a/minitests/litex/uart_ddr/arty/scripts/arty.py b/minitests/litex/uart_ddr/arty/scripts/arty.py index 287a4917c..c1cfd99e5 100755 --- a/minitests/litex/uart_ddr/arty/scripts/arty.py +++ b/minitests/litex/uart_ddr/arty/scripts/arty.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # This file is Copyright (c) 2015-2020 Florent Kermarrec # License: BSD diff --git a/minitests/litex/uart_ddr/arty/scripts/sdram_init.py b/minitests/litex/uart_ddr/arty/scripts/sdram_init.py index 80fb3832f..1282694da 100644 --- a/minitests/litex/uart_ddr/arty/scripts/sdram_init.py +++ b/minitests/litex/uart_ddr/arty/scripts/sdram_init.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC dfii_control_sel = 0x01 dfii_control_cke = 0x02 dfii_control_odt = 0x04 diff --git a/minitests/litex/uart_ddr/arty/scripts/test_sdram.py b/minitests/litex/uart_ddr/arty/scripts/test_sdram.py index be8ac057a..6e6e3fbd2 100755 --- a/minitests/litex/uart_ddr/arty/scripts/test_sdram.py +++ b/minitests/litex/uart_ddr/arty/scripts/test_sdram.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import sys import time diff --git a/minitests/litex/uart_ddr/arty/src.vivado/Makefile b/minitests/litex/uart_ddr/arty/src.vivado/Makefile index da579940b..94a0c2f98 100644 --- a/minitests/litex/uart_ddr/arty/src.vivado/Makefile +++ b/minitests/litex/uart_ddr/arty/src.vivado/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC PART = xc7a35tcsg324-1 BIT2FASM_ARGS = --part "$(XRAY_DIR)/database/artix7/$(PART)" --verbose SOURCES = ../generated/mem.init ../generated/mem_1.init ../generated/top.v ../generated/top.xdc diff --git a/minitests/litex/uart_ddr/arty/src.vivado/top.tcl b/minitests/litex/uart_ddr/arty/src.vivado/top.tcl index d2dfce5f7..560fe1b71 100644 --- a/minitests/litex/uart_ddr/arty/src.vivado/top.tcl +++ b/minitests/litex/uart_ddr/arty/src.vivado/top.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name top -part xc7a35ticsg324-1L add_files {../../generated/top.v} read_xdc ../../generated/top.xdc diff --git a/minitests/litex/uart_ddr/arty/src.yosys/Makefile b/minitests/litex/uart_ddr/arty/src.yosys/Makefile index edcac316f..a28548401 100644 --- a/minitests/litex/uart_ddr/arty/src.yosys/Makefile +++ b/minitests/litex/uart_ddr/arty/src.yosys/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export XRAY_PART = xc7a35tcsg324-1 export XRAY_PART_YAML = $(XRAY_DATABASE_DIR)/$(XRAY_DATABASE)/$(XRAY_PART)/part.yaml YOSYS = $(XRAY_DIR)/third_party/yosys/yosys diff --git a/minitests/litex/uart_ddr/arty/src.yosys/top.tcl b/minitests/litex/uart_ddr/arty/src.yosys/top.tcl index 539f8a7e3..954e56f98 100644 --- a/minitests/litex/uart_ddr/arty/src.yosys/top.tcl +++ b/minitests/litex/uart_ddr/arty/src.yosys/top.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc write_iobuf_report {filename} { set fp [open $filename w] puts $fp "{ \"tiles\": \[" diff --git a/minitests/litex_litedram/src.vivado/ExtractFrames.py b/minitests/litex_litedram/src.vivado/ExtractFrames.py index 83365e793..dd6406e6b 100755 --- a/minitests/litex_litedram/src.vivado/ExtractFrames.py +++ b/minitests/litex_litedram/src.vivado/ExtractFrames.py @@ -1,4 +1,13 @@ -#!/bin/python +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC ''' Extract the frames from the output of the prjxray bitread tool. ''' diff --git a/minitests/litex_litedram/src.vivado/Makefile b/minitests/litex_litedram/src.vivado/Makefile index 0ae0bb5e8..ac6f9247f 100644 --- a/minitests/litex_litedram/src.vivado/Makefile +++ b/minitests/litex_litedram/src.vivado/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export XRAY_PART = xc7a35tcsg324-1 export XRAY_PART_YAML = $(XRAY_DATABASE_DIR)/$(XRAY_DATABASE)/$(XRAY_PART).yaml SOURCES = verilog/mem.init verilog/mem_1.init verilog/top.v verilog/VexRiscv.v diff --git a/minitests/litex_litedram/src.vivado/top.tcl b/minitests/litex_litedram/src.vivado/top.tcl index 9adbe8246..f4e102a63 100644 --- a/minitests/litex_litedram/src.vivado/top.tcl +++ b/minitests/litex_litedram/src.vivado/top.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name top -part $::env(XRAY_PART) set_msg_config -id {Common 17-55} -new_severity {Warning} read_verilog ../verilog/VexRiscv.v diff --git a/minitests/litex_litedram/src.yosys/ExtractFrames.py b/minitests/litex_litedram/src.yosys/ExtractFrames.py index 83365e793..dd6406e6b 100755 --- a/minitests/litex_litedram/src.yosys/ExtractFrames.py +++ b/minitests/litex_litedram/src.yosys/ExtractFrames.py @@ -1,4 +1,13 @@ -#!/bin/python +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC ''' Extract the frames from the output of the prjxray bitread tool. ''' diff --git a/minitests/litex_litedram/src.yosys/Makefile b/minitests/litex_litedram/src.yosys/Makefile index 69cd5be8f..f49102ddf 100644 --- a/minitests/litex_litedram/src.yosys/Makefile +++ b/minitests/litex_litedram/src.yosys/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export XRAY_PART = xc7a35tcsg324-1 export XRAY_PART_YAML = $(XRAY_DATABASE_DIR)/$(XRAY_DATABASE)/$(XRAY_PART).yaml YOSYS = $(XRAY_DIR)/third_party/yosys/yosys diff --git a/minitests/litex_litedram/src.yosys/top.tcl b/minitests/litex_litedram/src.yosys/top.tcl index 8968fc36f..bf3144c5a 100644 --- a/minitests/litex_litedram/src.yosys/top.tcl +++ b/minitests/litex_litedram/src.yosys/top.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc write_iobuf_report {filename} { set fp [open $filename w] puts $fp "{ \"tiles\": \[" diff --git a/minitests/lvb_long_mux/runme.sh b/minitests/lvb_long_mux/runme.sh index 09796fe2e..b08ef6526 100755 --- a/minitests/lvb_long_mux/runme.sh +++ b/minitests/lvb_long_mux/runme.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex ${XRAY_VIVADO} -mode batch -source runme.tcl diff --git a/minitests/lvb_long_mux/runme.tcl b/minitests/lvb_long_mux/runme.tcl index b83050f26..28c231773 100644 --- a/minitests/lvb_long_mux/runme.tcl +++ b/minitests/lvb_long_mux/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/minitests/nodes_wires_list/runme.tcl b/minitests/nodes_wires_list/runme.tcl index 11607f1e2..306058a1d 100644 --- a/minitests/nodes_wires_list/runme.tcl +++ b/minitests/nodes_wires_list/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/minitests/oserdes/Makefile b/minitests/oserdes/Makefile index 05d7bfbdb..75d6f98d2 100644 --- a/minitests/oserdes/Makefile +++ b/minitests/oserdes/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC SYNTH ?= vivado YOSYS = $(XRAY_DIR)/third_party/yosys/yosys PART = xc7a35tcsg324-1 diff --git a/minitests/oserdes/sim/run_vivado.sh b/minitests/oserdes/sim/run_vivado.sh index 9f56cfb07..77760933b 100755 --- a/minitests/oserdes/sim/run_vivado.sh +++ b/minitests/oserdes/sim/run_vivado.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -e diff --git a/minitests/oserdes/sim/sim.tcl b/minitests/oserdes/sim/sim.tcl index e185aa589..ef914f3c4 100644 --- a/minitests/oserdes/sim/sim.tcl +++ b/minitests/oserdes/sim/sim.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part xc7a35ticsg324-1L $::env(TESTBENCH_TITLE) $::env(TESTBENCH_TITLE) read_verilog $::env(TESTBENCH_TITLE).v diff --git a/minitests/oserdes/tcl/par.tcl b/minitests/oserdes/tcl/par.tcl index 2d72f2628..fd76a6a45 100644 --- a/minitests/oserdes/tcl/par.tcl +++ b/minitests/oserdes/tcl/par.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part xc7a35tcpg236-1 read_edif ../$env(PROJECT_NAME).edif diff --git a/minitests/oserdes/tcl/syn.tcl b/minitests/oserdes/tcl/syn.tcl index c4501ce6e..8d15c46db 100644 --- a/minitests/oserdes/tcl/syn.tcl +++ b/minitests/oserdes/tcl/syn.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part xc7a35tcpg236-1 read_verilog ../$env(PROJECT_NAME).v diff --git a/minitests/partial_reconfig_flow/Makefile b/minitests/partial_reconfig_flow/Makefile index 8e94cd219..d7452f409 100644 --- a/minitests/partial_reconfig_flow/Makefile +++ b/minitests/partial_reconfig_flow/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC .PRECIOUS: harness_impl.dcp %_impl.dcp %.bit %_roi_partial.bit # Top-level target for generating a programmable bitstream. Given a .fasm diff --git a/minitests/partial_reconfig_flow/harness_implement.tcl b/minitests/partial_reconfig_flow/harness_implement.tcl index ad2e554df..2d9145c22 100644 --- a/minitests/partial_reconfig_flow/harness_implement.tcl +++ b/minitests/partial_reconfig_flow/harness_implement.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC open_checkpoint harness_synth.dcp create_pblock roi diff --git a/minitests/partial_reconfig_flow/harness_synthesize.tcl b/minitests/partial_reconfig_flow/harness_synthesize.tcl index bde35810f..8d69d9d5e 100644 --- a/minitests/partial_reconfig_flow/harness_synthesize.tcl +++ b/minitests/partial_reconfig_flow/harness_synthesize.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC read_verilog harness.v synth_design -top top -part $::env(XRAY_PART) write_checkpoint -force harness_synth.dcp diff --git a/minitests/partial_reconfig_flow/roi_implement.tcl b/minitests/partial_reconfig_flow/roi_implement.tcl index ea8b6c2f0..d296a3544 100644 --- a/minitests/partial_reconfig_flow/roi_implement.tcl +++ b/minitests/partial_reconfig_flow/roi_implement.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC open_checkpoint harness_impl.dcp read_checkpoint -cell roi [lindex $argv 0] opt_design diff --git a/minitests/partial_reconfig_flow/roi_synthesize.tcl b/minitests/partial_reconfig_flow/roi_synthesize.tcl index f928e1c7e..a20e8901a 100644 --- a/minitests/partial_reconfig_flow/roi_synthesize.tcl +++ b/minitests/partial_reconfig_flow/roi_synthesize.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC read_verilog [lindex $argv 0] synth_design -mode out_of_context -top roi -part $::env(XRAY_PART) write_checkpoint -force [lindex $argv 1] diff --git a/minitests/partial_reconfig_flow/write_bitstream.tcl b/minitests/partial_reconfig_flow/write_bitstream.tcl index 62beef9ec..fecce16bf 100644 --- a/minitests/partial_reconfig_flow/write_bitstream.tcl +++ b/minitests/partial_reconfig_flow/write_bitstream.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC open_checkpoint [lindex $argv 0] # Disabling CRC just replaces the CRC register writes with Reset CRC commands. diff --git a/minitests/picorv32-v/Makefile b/minitests/picorv32-v/Makefile index e9e022b0e..55371bd39 100644 --- a/minitests/picorv32-v/Makefile +++ b/minitests/picorv32-v/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC all: bash runme.sh diff --git a/minitests/picorv32-v/runme.sh b/minitests/picorv32-v/runme.sh index 60c45cde5..1b690b799 100644 --- a/minitests/picorv32-v/runme.sh +++ b/minitests/picorv32-v/runme.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex ${XRAY_VIVADO} -mode batch -source runme.tcl diff --git a/minitests/picorv32-v/runme.tcl b/minitests/picorv32-v/runme.tcl index 6a71b076d..5eb59ea5f 100644 --- a/minitests/picorv32-v/runme.tcl +++ b/minitests/picorv32-v/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v read_verilog picorv32.v diff --git a/minitests/picorv32-y/Makefile b/minitests/picorv32-y/Makefile index e9e022b0e..55371bd39 100644 --- a/minitests/picorv32-y/Makefile +++ b/minitests/picorv32-y/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC all: bash runme.sh diff --git a/minitests/picorv32-y/runme.sh b/minitests/picorv32-y/runme.sh index 9ff4912f7..15910e1ca 100755 --- a/minitests/picorv32-y/runme.sh +++ b/minitests/picorv32-y/runme.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex yosys run_yosys.ys diff --git a/minitests/picorv32-y/runme.tcl b/minitests/picorv32-y/runme.tcl index 410889df3..a67b36773 100644 --- a/minitests/picorv32-y/runme.tcl +++ b/minitests/picorv32-y/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design # read_verilog top.v diff --git a/minitests/pip-switchboxes/pips.tcl b/minitests/pip-switchboxes/pips.tcl index a8996752b..f1c6a0d39 100644 --- a/minitests/pip-switchboxes/pips.tcl +++ b/minitests/pip-switchboxes/pips.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc tile_pip_report {fd tile_name} { set tile [get_tile $tile_name] diff --git a/minitests/pip-switchboxes/routes.tcl b/minitests/pip-switchboxes/routes.tcl index d79777182..95fda85b1 100644 --- a/minitests/pip-switchboxes/routes.tcl +++ b/minitests/pip-switchboxes/routes.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set_property FIXED_ROUTE {} [get_nets] route_design -unroute diff --git a/minitests/pip-switchboxes/runme.sh b/minitests/pip-switchboxes/runme.sh index f669b0b92..40e12761d 100755 --- a/minitests/pip-switchboxes/runme.sh +++ b/minitests/pip-switchboxes/runme.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC ${XRAY_VIVADO} -mode batch -source runme.tcl ${XRAY_BITREAD} -F $XRAY_ROI_FRAMES -o design.bits -z -y design.bit diff --git a/minitests/pip-switchboxes/runme.tcl b/minitests/pip-switchboxes/runme.tcl index c0ef07946..3bbff5d9b 100644 --- a/minitests/pip-switchboxes/runme.tcl +++ b/minitests/pip-switchboxes/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/minitests/plle2_adv/Makefile b/minitests/plle2_adv/Makefile index 05d7bfbdb..75d6f98d2 100644 --- a/minitests/plle2_adv/Makefile +++ b/minitests/plle2_adv/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC SYNTH ?= vivado YOSYS = $(XRAY_DIR)/third_party/yosys/yosys PART = xc7a35tcsg324-1 diff --git a/minitests/plle2_adv/tcl/par.tcl b/minitests/plle2_adv/tcl/par.tcl index 7f9dcdcc9..0f73461ee 100644 --- a/minitests/plle2_adv/tcl/par.tcl +++ b/minitests/plle2_adv/tcl/par.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part xc7a35tcpg236-1 read_edif ../$env(PROJECT_NAME).edif diff --git a/minitests/plle2_adv/tcl/syn.tcl b/minitests/plle2_adv/tcl/syn.tcl index c4501ce6e..8d15c46db 100644 --- a/minitests/plle2_adv/tcl/syn.tcl +++ b/minitests/plle2_adv/tcl/syn.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part xc7a35tcpg236-1 read_verilog ../$env(PROJECT_NAME).v diff --git a/minitests/roi_harness/Makefile b/minitests/roi_harness/Makefile index ac6a9f709..08a0e8b64 100644 --- a/minitests/roi_harness/Makefile +++ b/minitests/roi_harness/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC XRAY_PINCFG ?= BASYS3-SWBUT export XRAY_PINCFG diff --git a/minitests/roi_harness/arty-common.sh b/minitests/roi_harness/arty-common.sh index 9da02714a..8e9feb49f 100644 --- a/minitests/roi_harness/arty-common.sh +++ b/minitests/roi_harness/arty-common.sh @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # XC7A35TICSG324-1L export XRAY_PART=xc7a35tcsg324-1 export XRAY_EQUIV_PART=xc7a50tfgg484-1 diff --git a/minitests/roi_harness/arty-pmod.sh b/minitests/roi_harness/arty-pmod.sh index 70660ffd2..96c4f9929 100644 --- a/minitests/roi_harness/arty-pmod.sh +++ b/minitests/roi_harness/arty-pmod.sh @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # XC7A35TICSG324-1L export XRAY_PINCFG=ARTY-A7-PMOD export XRAY_DIN_N_LARGE=8 diff --git a/minitests/roi_harness/arty-swbut.sh b/minitests/roi_harness/arty-swbut.sh index da7cb458e..62f3e807c 100644 --- a/minitests/roi_harness/arty-swbut.sh +++ b/minitests/roi_harness/arty-swbut.sh @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # XC7A35TICSG324-1L export XRAY_PINCFG=ARTY-A7-SWBUT export XRAY_DIN_N_LARGE=8 diff --git a/minitests/roi_harness/arty-uart.sh b/minitests/roi_harness/arty-uart.sh index dbdb92d4a..d3d99b92a 100644 --- a/minitests/roi_harness/arty-uart.sh +++ b/minitests/roi_harness/arty-uart.sh @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # XC7A35TICSG324-1L export XRAY_PINCFG=ARTY-A7-UART export XRAY_DIN_N_LARGE=2 diff --git a/minitests/roi_harness/basys3-common.sh b/minitests/roi_harness/basys3-common.sh index 8047f822a..7492f9b35 100644 --- a/minitests/roi_harness/basys3-common.sh +++ b/minitests/roi_harness/basys3-common.sh @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # XC7A35T-1CPG236C export XRAY_PART=xc7a35tcpg236-1 export XRAY_EQUIV_PART=xc7a50tfgg484-1 diff --git a/minitests/roi_harness/basys3-swbut.sh b/minitests/roi_harness/basys3-swbut.sh index 402e8a0d0..539242b64 100644 --- a/minitests/roi_harness/basys3-swbut.sh +++ b/minitests/roi_harness/basys3-swbut.sh @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # XC7A35T-1CPG236C export XRAY_PINCFG=BASYS3-SWBUT export XRAY_DIN_N_LARGE=17 diff --git a/minitests/roi_harness/create_design_json.py b/minitests/roi_harness/create_design_json.py index 1c30fbdf1..9ad486723 100644 --- a/minitests/roi_harness/create_design_json.py +++ b/minitests/roi_harness/create_design_json.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import xjson import csv import argparse diff --git a/minitests/roi_harness/demo_sw_led.py b/minitests/roi_harness/demo_sw_led.py index 3ffb089da..5e83027a3 100755 --- a/minitests/roi_harness/demo_sw_led.py +++ b/minitests/roi_harness/demo_sw_led.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import subprocess import demo_sw_led_fasm diff --git a/minitests/roi_harness/demo_sw_led_fasm.py b/minitests/roi_harness/demo_sw_led_fasm.py index 76bcbc189..2b6dd5fe7 100755 --- a/minitests/roi_harness/demo_sw_led_fasm.py +++ b/minitests/roi_harness/demo_sw_led_fasm.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import sys import os diff --git a/minitests/roi_harness/fasm2bit.sh b/minitests/roi_harness/fasm2bit.sh index ee1d389a2..9a67b30a0 100755 --- a/minitests/roi_harness/fasm2bit.sh +++ b/minitests/roi_harness/fasm2bit.sh @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # Example pre-req # ./runme.sh # XRAY_ROIV=roi_inv.v XRAY_FIXED_XDC=out_xc7a35tcpg236-1_BASYS3-SWBUT_roi_basev/fixed_noclk.xdc ./runme.sh diff --git a/minitests/roi_harness/runme.sh b/minitests/roi_harness/runme.sh index 8d1608397..fc64fdca8 100755 --- a/minitests/roi_harness/runme.sh +++ b/minitests/roi_harness/runme.sh @@ -1,4 +1,11 @@ #!/usr/bin/env bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # This script requires an XC7A50T family part diff --git a/minitests/roi_harness/runme.tcl b/minitests/roi_harness/runme.tcl index a18da488e..df9f1cb54 100644 --- a/minitests/roi_harness/runme.tcl +++ b/minitests/roi_harness/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # WARNING: this is somewhat paramaterized, but is only tested on A50T/A35T with the traditional ROI # Your ROI should at least have a SLICEL on the left diff --git a/minitests/roi_harness/test_demo_sw_led.py b/minitests/roi_harness/test_demo_sw_led.py index cd5b77fd5..7da51c4a3 100755 --- a/minitests/roi_harness/test_demo_sw_led.py +++ b/minitests/roi_harness/test_demo_sw_led.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import unittest import demo_sw_led diff --git a/minitests/roi_harness/zybo-common.sh b/minitests/roi_harness/zybo-common.sh index 408eed540..bd2442346 100644 --- a/minitests/roi_harness/zybo-common.sh +++ b/minitests/roi_harness/zybo-common.sh @@ -1,4 +1,11 @@ # XC7010-1CLG400C +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export XRAY_PART=xc7z010clg400-1 export XRAY_EQUIV_PART=xc7z010clg400-1 diff --git a/minitests/roi_harness/zybo-swbut.sh b/minitests/roi_harness/zybo-swbut.sh index 6e3dc4b3e..6bb956350 100644 --- a/minitests/roi_harness/zybo-swbut.sh +++ b/minitests/roi_harness/zybo-swbut.sh @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # XC7010-1CLG400C export XRAY_PINCFG=ZYBOZ7-SWBUT export XRAY_DIN_N_LARGE=4 diff --git a/minitests/srl/Makefile b/minitests/srl/Makefile index faaf8ef5b..d01f13bb9 100644 --- a/minitests/srl/Makefile +++ b/minitests/srl/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC SYNTH ?= vivado YOSYS = $(XRAY_DIR)/third_party/yosys/yosys PART = xc7a35tcsg324-1 diff --git a/minitests/srl/par.tcl b/minitests/srl/par.tcl index b0f9eae4a..a439c6e9d 100644 --- a/minitests/srl/par.tcl +++ b/minitests/srl/par.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part xc7a35ticsg324-1L #read_xdc ../top.xdc diff --git a/minitests/srl/syn.tcl b/minitests/srl/syn.tcl index 2bce1beb9..60fcaf1d9 100644 --- a/minitests/srl/syn.tcl +++ b/minitests/srl/syn.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part xc7a35ticsg324-1L read_verilog ../$env(PROJECT_NAME).v diff --git a/minitests/tiles_wires_pips/runme.sh b/minitests/tiles_wires_pips/runme.sh index 31a820548..43ae964ab 100755 --- a/minitests/tiles_wires_pips/runme.sh +++ b/minitests/tiles_wires_pips/runme.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -e ${XRAY_VIVADO} -mode batch -source runme.tcl diff --git a/minitests/tiles_wires_pips/runme.tcl b/minitests/tiles_wires_pips/runme.tcl index a20b36899..173871d3e 100644 --- a/minitests/tiles_wires_pips/runme.tcl +++ b/minitests/tiles_wires_pips/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v diff --git a/minitests/timing/Makefile b/minitests/timing/Makefile index 24aa6707d..fad11fc19 100644 --- a/minitests/timing/Makefile +++ b/minitests/timing/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC all: clean: diff --git a/minitests/timing/runme.tcl b/minitests/timing/runme.tcl index f3ee29869..d5a805c44 100644 --- a/minitests/timing/runme.tcl +++ b/minitests/timing/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source $::env(XRAY_UTILS_DIR)/write_timing_info.tcl proc create_design {design_name sig_mask verilogs} { diff --git a/minitests/util/runme.sh b/minitests/util/runme.sh index 4fd021b62..3a0140838 100755 --- a/minitests/util/runme.sh +++ b/minitests/util/runme.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC set -ex ${XRAY_VIVADO} -mode batch -source $XRAY_DIR/minitests/util/runme.tcl diff --git a/minitests/util/runme.tcl b/minitests/util/runme.tcl index c44683367..64019c5db 100644 --- a/minitests/util/runme.tcl +++ b/minitests/util/runme.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -part $::env(XRAY_PART) design design read_verilog top.v synth_design -top top -flatten_hierarchy none diff --git a/minitests/z7_blinky_emio/pl/Makefile b/minitests/z7_blinky_emio/pl/Makefile index 25b6699e4..6d6e2bf9e 100644 --- a/minitests/z7_blinky_emio/pl/Makefile +++ b/minitests/z7_blinky_emio/pl/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC PART?=xc7z020clg400-1 VIVADO_PART?=$(PART) BIT2FASM_ARGS= --part "$(XRAY_DIR)/database/$(XRAY_DATABASE)/$(PART)" --verbose diff --git a/minitests/z7_blinky_emio/pl/syn+par.tcl b/minitests/z7_blinky_emio/pl/syn+par.tcl index 4c62eeb80..06b588cbb 100644 --- a/minitests/z7_blinky_emio/pl/syn+par.tcl +++ b/minitests/z7_blinky_emio/pl/syn+par.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC create_project -force -name $env(PROJECT_NAME) -part $env(VIVADO_PART) read_verilog ../$env(PROJECT_NAME).v diff --git a/minitests/z7_blinky_emio/ps/Makefile b/minitests/z7_blinky_emio/ps/Makefile index 9f8896cfa..164881085 100644 --- a/minitests/z7_blinky_emio/ps/Makefile +++ b/minitests/z7_blinky_emio/ps/Makefile @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC .PHONY: all clean all: firmware run diff --git a/minitests/z7_blinky_emio/ps/blink.tcl b/minitests/z7_blinky_emio/ps/blink.tcl index bf70d9622..8cea5b1d9 100644 --- a/minitests/z7_blinky_emio/ps/blink.tcl +++ b/minitests/z7_blinky_emio/ps/blink.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC connect targets -set -nocase -filter {name =~ "ARM* #0"} rst -system diff --git a/prjxray/bitfilter.py b/prjxray/bitfilter.py index 9b1c064be..66a1c984a 100644 --- a/prjxray/bitfilter.py +++ b/prjxray/bitfilter.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC class Bitfilter(object): def __init__( self, frames_to_include=None, frames_to_exclude=[], diff --git a/prjxray/bitsmaker.py b/prjxray/bitsmaker.py index 02dfb66bb..f29f7887c 100644 --- a/prjxray/bitsmaker.py +++ b/prjxray/bitsmaker.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray import bitstream diff --git a/prjxray/bitstream.py b/prjxray/bitstream.py index 741d7909f..83b264d78 100644 --- a/prjxray/bitstream.py +++ b/prjxray/bitstream.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json import os from prjxray import util diff --git a/prjxray/connections.py b/prjxray/connections.py index 334d2f4e3..fac84b7af 100644 --- a/prjxray/connections.py +++ b/prjxray/connections.py @@ -1,5 +1,15 @@ -from collections import namedtuple +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC +from collections import namedtuple WireInGrid = namedtuple('WireInGrid', 'tile grid_x grid_y wire') Connection = namedtuple('Connection', 'wire_a wire_b') diff --git a/prjxray/db.py b/prjxray/db.py index dfe11730c..b84cacf44 100644 --- a/prjxray/db.py +++ b/prjxray/db.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os.path import simplejson as json from prjxray import grid diff --git a/prjxray/fasm_assembler.py b/prjxray/fasm_assembler.py index 5980ebb4a..2bb190076 100644 --- a/prjxray/fasm_assembler.py +++ b/prjxray/fasm_assembler.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import fasm from prjxray import bitstream diff --git a/prjxray/fasm_disassembler.py b/prjxray/fasm_disassembler.py index 85bdc3811..896b5c820 100644 --- a/prjxray/fasm_disassembler.py +++ b/prjxray/fasm_disassembler.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import re import fasm from prjxray import bitstream diff --git a/prjxray/grid.py b/prjxray/grid.py index 610bebbc2..c1632dfa0 100644 --- a/prjxray/grid.py +++ b/prjxray/grid.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from prjxray import segment_map from prjxray.grid_types import BlockType, GridLoc, GridInfo, BitAlias, Bits, BitsInfo, ClockRegion from prjxray.tile_segbits_alias import TileSegbitsAlias diff --git a/prjxray/grid_types.py b/prjxray/grid_types.py index 0a1669c8d..53758a6e9 100644 --- a/prjxray/grid_types.py +++ b/prjxray/grid_types.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from collections import namedtuple import enum diff --git a/prjxray/lib.py b/prjxray/lib.py index 8922e4ee4..27dd23607 100644 --- a/prjxray/lib.py +++ b/prjxray/lib.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os.path import csv import pickle diff --git a/prjxray/lms_solver.py b/prjxray/lms_solver.py index 5dacddf61..ed1825f6a 100755 --- a/prjxray/lms_solver.py +++ b/prjxray/lms_solver.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC ''' This script solves the fuzzing problem through least-mean-square solution of an overdetermined linear equation system. diff --git a/prjxray/lut_maker.py b/prjxray/lut_maker.py index 905c2e9e2..1073aa304 100644 --- a/prjxray/lut_maker.py +++ b/prjxray/lut_maker.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC class LutMaker(object): def __init__(self): self.input_lut_idx = 0 diff --git a/prjxray/math_models.py b/prjxray/math_models.py index a649302cb..04ea34fac 100644 --- a/prjxray/math_models.py +++ b/prjxray/math_models.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ Math models are used to represent abstract operations for the timing models. This is useful for creating excel workbooks that can update dynamically, or generating a model with symbolic constants to be backsolved. diff --git a/prjxray/node_lookup.py b/prjxray/node_lookup.py index c8669f49b..8b684f188 100644 --- a/prjxray/node_lookup.py +++ b/prjxray/node_lookup.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import sqlite3 import progressbar import pyjson5 as json5 diff --git a/prjxray/roi.py b/prjxray/roi.py index c8d023181..0627aa677 100644 --- a/prjxray/roi.py +++ b/prjxray/roi.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC class Roi(object): """ Object that represents a Project X-ray ROI. diff --git a/prjxray/segmaker.py b/prjxray/segmaker.py index 78fa8f538..15f993423 100644 --- a/prjxray/segmaker.py +++ b/prjxray/segmaker.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC ''' NOTE: "segments" as used in this file is mostly unrelated to tilegrid.json usage ie tilegrid.json has names like SEG_CLBLL_L_X2Y50 where as here they are tile based and named like seg_00400100_02 diff --git a/prjxray/segment_map.py b/prjxray/segment_map.py index 56ee8f683..87c351d97 100644 --- a/prjxray/segment_map.py +++ b/prjxray/segment_map.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from intervaltree import IntervalTree, Interval from prjxray import bitstream diff --git a/prjxray/site_type.py b/prjxray/site_type.py index db8fc2a78..c396fd221 100644 --- a/prjxray/site_type.py +++ b/prjxray/site_type.py @@ -1,5 +1,14 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ Description of a site type """ - from collections import namedtuple import enum diff --git a/prjxray/state_gen.py b/prjxray/state_gen.py index 3a8d43fc4..4a0af6e9b 100644 --- a/prjxray/state_gen.py +++ b/prjxray/state_gen.py @@ -1,3 +1,14 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC + from prjxray import util diff --git a/prjxray/tile.py b/prjxray/tile.py index 380bc1bb8..cb008a48e 100644 --- a/prjxray/tile.py +++ b/prjxray/tile.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ Database files available for a tile type. """ from collections import namedtuple import json diff --git a/prjxray/tile_segbits.py b/prjxray/tile_segbits.py index 09c055c82..1f8807cd2 100644 --- a/prjxray/tile_segbits.py +++ b/prjxray/tile_segbits.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from collections import namedtuple from prjxray import bitstream from prjxray.grid import BlockType diff --git a/prjxray/tile_segbits_alias.py b/prjxray/tile_segbits_alias.py index 074bfdfaf..1e8a3793d 100644 --- a/prjxray/tile_segbits_alias.py +++ b/prjxray/tile_segbits_alias.py @@ -1,5 +1,14 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ TileSegbitsAlias provides an alias from one tile type to another. - TileSegbitsAlias performs severals functions to achieve the alias: - Remaps tile type from the original tile type to the alias tile type - Offsets the bits from the original to the alias type diff --git a/prjxray/timing.py b/prjxray/timing.py index 782d0e32f..c14b0b7e4 100644 --- a/prjxray/timing.py +++ b/prjxray/timing.py @@ -1,5 +1,14 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ Route timing delay definitions. - Routing delay is formed from two parts in this model: - Intristic delay of the element diff --git a/prjxray/util.py b/prjxray/util.py index 8fa737f64..3fb54494d 100644 --- a/prjxray/util.py +++ b/prjxray/util.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import math import os import random diff --git a/prjxray/verilog.py b/prjxray/verilog.py index de3895e9f..a24f70917 100644 --- a/prjxray/verilog.py +++ b/prjxray/verilog.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import sys import random import re diff --git a/prjxray/xjson.py b/prjxray/xjson.py index da888e0ad..2eb705ee0 100644 --- a/prjxray/xjson.py +++ b/prjxray/xjson.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import io import json import re diff --git a/settings/artix7.sh b/settings/artix7.sh index 226582166..d6c93fce0 100644 --- a/settings/artix7.sh +++ b/settings/artix7.sh @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export XRAY_DATABASE="artix7" export XRAY_PART="xc7a50tfgg484-1" export XRAY_ROI_FRAMES="0x00000000:0xffffffff" diff --git a/settings/artix7_100t.sh b/settings/artix7_100t.sh index 4a875a7fe..ae31da324 100644 --- a/settings/artix7_100t.sh +++ b/settings/artix7_100t.sh @@ -1,3 +1,11 @@ +#!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export XRAY_DATABASE="artix7" export XRAY_PART="xc7a100tfgg676-1" export XRAY_ROI_FRAMES="0x00000000:0xffffffff" diff --git a/settings/artix7_200t.sh b/settings/artix7_200t.sh index e069dc6e8..d5483ea46 100644 --- a/settings/artix7_200t.sh +++ b/settings/artix7_200t.sh @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export XRAY_DATABASE="artix7" export XRAY_PART="xc7a200tffg1156-1" export XRAY_ROI_FRAMES="0x00000000:0xffffffff" diff --git a/settings/kintex7.sh b/settings/kintex7.sh index 1ec6b1997..cf34fd4dc 100644 --- a/settings/kintex7.sh +++ b/settings/kintex7.sh @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export XRAY_DATABASE="kintex7" export XRAY_PART="xc7k70tfbg676-2" export XRAY_ROI_FRAMES="0x00000000:0xffffffff" diff --git a/settings/zynq7.sh b/settings/zynq7.sh index d5ecf1c7f..2e4b115b7 100644 --- a/settings/zynq7.sh +++ b/settings/zynq7.sh @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export XRAY_DATABASE="zynq7" export XRAY_PART="xc7z020clg484-1" export XRAY_ROI_FRAMES="0x00000000:0xffffffff" diff --git a/settings/zynq7010.sh b/settings/zynq7010.sh index f69107fe8..f1049f0fd 100644 --- a/settings/zynq7010.sh +++ b/settings/zynq7010.sh @@ -1,4 +1,11 @@ export XRAY_DATABASE="zynq7" +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export XRAY_PART="xc7z010clg400-1" export XRAY_ROI_FRAMES="0x00000000:0xffffffff" diff --git a/setup.py b/setup.py index 1d3a640f6..3f22175b9 100644 --- a/setup.py +++ b/setup.py @@ -1,3 +1,14 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC + import setuptools with open("README.md", "r") as fh: diff --git a/tests/test_util.py b/tests/test_util.py index a561b209a..8ea851ed1 100755 --- a/tests/test_util.py +++ b/tests/test_util.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from os import environ, getcwd, chdir import json diff --git a/third_party/fasm b/third_party/fasm index 54530625f..052f62921 160000 --- a/third_party/fasm +++ b/third_party/fasm @@ -1 +1 @@ -Subproject commit 54530625f90b4e5fa7d65091507cacb7461743ea +Subproject commit 052f629217119b699ea83e03c412bc6fafb2313a diff --git a/third_party/python-sdf-timing b/third_party/python-sdf-timing index f6e72dd64..0afbbfe5c 160000 --- a/third_party/python-sdf-timing +++ b/third_party/python-sdf-timing @@ -1 +1 @@ -Subproject commit f6e72dd645010f7c38c57176e635caac699f427b +Subproject commit 0afbbfe5cec97330b3261d811a4d604a471a5d98 diff --git a/third_party/reformat.tcl b/third_party/reformat.tcl index c4efb5d84..c31195c89 100755 --- a/third_party/reformat.tcl +++ b/third_party/reformat.tcl @@ -1,4 +1,12 @@ #!/usr/bin/env tclsh +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # From: https://gist.github.com/yyamasak/af250f7ca74e18526734#file-reformat-tcl-L10 # Which is based on https://wiki.tcl-lang.org/page/Reformatting+Tcl+code+indentation # See for licensing diff --git a/third_party/yosys b/third_party/yosys index 721040df7..93ef516d9 160000 --- a/third_party/yosys +++ b/third_party/yosys @@ -1 +1 @@ -Subproject commit 721040df76c7095463ebf4f708f94bb236333f61 +Subproject commit 93ef516d919b40ace2099bc7586bfda8648f0757 diff --git a/tools/bitread.cc b/tools/bitread.cc index 9cc7d06f2..f5aa7e062 100644 --- a/tools/bitread.cc +++ b/tools/bitread.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include #include diff --git a/tools/bittool.cc b/tools/bittool.cc index 66d8a4816..1f2c61a58 100644 --- a/tools/bittool.cc +++ b/tools/bittool.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include #include diff --git a/tools/frame_address_decoder.cc b/tools/frame_address_decoder.cc index b3c21e975..cc7a2031a 100644 --- a/tools/frame_address_decoder.cc +++ b/tools/frame_address_decoder.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include #include diff --git a/tools/gen_part_base_yaml.cc b/tools/gen_part_base_yaml.cc index e5f965f29..77b9cdb09 100644 --- a/tools/gen_part_base_yaml.cc +++ b/tools/gen_part_base_yaml.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/tools/segmatch.cc b/tools/segmatch.cc index 128bca65b..1af59cdd2 100644 --- a/tools/segmatch.cc +++ b/tools/segmatch.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include #include diff --git a/tools/xc7frames2bit.cc b/tools/xc7frames2bit.cc index 28d952d09..788630190 100644 --- a/tools/xc7frames2bit.cc +++ b/tools/xc7frames2bit.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/tools/xc7patch.cc b/tools/xc7patch.cc index 369ddac93..c4aa96f29 100644 --- a/tools/xc7patch.cc +++ b/tools/xc7patch.cc @@ -1,3 +1,12 @@ +/* + * Copyright (C) 2017-2020 The Project X-Ray Authors. + * + * Use of this source code is governed by a ISC-style + * license that can be found in the LICENSE file or at + * https://opensource.org/licenses/ISC + * + * SPDX-License-Identifier: ISC + */ #include #include diff --git a/utils/addrwidth.py b/utils/addrwidth.py index 7b499f844..86884228e 100755 --- a/utils/addrwidth.py +++ b/utils/addrwidth.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json from prjxray import bitstream diff --git a/utils/bit2fasm.py b/utils/bit2fasm.py index dcde86d9a..d36a0ba5e 100755 --- a/utils/bit2fasm.py +++ b/utils/bit2fasm.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC ''' Take bitstream .bit files and decode them to FASM. ''' diff --git a/utils/blockwidth.py b/utils/blockwidth.py index 87d20bee6..b8936b779 100755 --- a/utils/blockwidth.py +++ b/utils/blockwidth.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC ''' Prints minor address group sizes If any part of an IP block is written, Vivado likes to write the entire block diff --git a/utils/checkdb.py b/utils/checkdb.py index 52cb6f3d3..1ba0a38b0 100755 --- a/utils/checkdb.py +++ b/utils/checkdb.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC ''' Check: -Individual files are valid diff --git a/utils/clean_json5.py b/utils/clean_json5.py index a5fa02879..aaf9b7128 100644 --- a/utils/clean_json5.py +++ b/utils/clean_json5.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import pyjson5 import simplejson import sys diff --git a/utils/cleandb.py b/utils/cleandb.py index 0bdc2c102..882c1f096 100644 --- a/utils/cleandb.py +++ b/utils/cleandb.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import sys, re import os diff --git a/utils/cmp.py b/utils/cmp.py index d01671db5..f7ad6801a 100644 --- a/utils/cmp.py +++ b/utils/cmp.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ Python 3 removed the 'cmp' function and raises a Type error when you try to compare different types. This module recreates Python 2 style 'cmp' function diff --git a/utils/create_timing_worksheet_db.py b/utils/create_timing_worksheet_db.py index 845b7af81..e6dd4cfbd 100644 --- a/utils/create_timing_worksheet_db.py +++ b/utils/create_timing_worksheet_db.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ This takes a JSON file generated with write_timing_info.tcl and generates a spreadsheet with the prjxray timing model and compares it with the interconnect timing output from Vivado. diff --git a/utils/dbfixup.py b/utils/dbfixup.py index 58f936b62..015718a39 100755 --- a/utils/dbfixup.py +++ b/utils/dbfixup.py @@ -1,4 +1,13 @@ -#/usr/bin/env python3 +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import sys, os, re import itertools diff --git a/utils/diff_db_bits.py b/utils/diff_db_bits.py index 083f9258c..ff42b182f 100755 --- a/utils/diff_db_bits.py +++ b/utils/diff_db_bits.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import argparse import subprocess import tempfile diff --git a/utils/diff_db_json.py b/utils/diff_db_json.py index 2144d5c79..2b98cf4fd 100755 --- a/utils/diff_db_json.py +++ b/utils/diff_db_json.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import argparse import subprocess import tempfile diff --git a/utils/environment.python.sh b/utils/environment.python.sh index 43a4706ea..a4b8e382e 100644 --- a/utils/environment.python.sh +++ b/utils/environment.python.sh @@ -1,4 +1,11 @@ # FIXME: fasm should be installed into the running Python environment. +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC export PYTHONPATH="${XRAY_DIR}:${XRAY_DIR}/third_party/fasm:$PYTHONPATH" # Suppress the following warnings; diff --git a/utils/environment.sh b/utils/environment.sh index f9dcbdfe9..40ce83afb 100644 --- a/utils/environment.sh +++ b/utils/environment.sh @@ -1,4 +1,11 @@ # dirs +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC XRAY_ENV_PATH="${BASH_SOURCE[0]}" while [ -h "$XRAY_ENV_PATH" ]; do # resolve $XRAY_ENV_PATH until the file is no longer a symlink XRAY_UTILS_DIR="$( cd -P "$( dirname "$XRAY_ENV_PATH" )" && pwd )" diff --git a/utils/fasm2frames.py b/utils/fasm2frames.py index ef979c252..fe384db38 100755 --- a/utils/fasm2frames.py +++ b/utils/fasm2frames.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from __future__ import print_function diff --git a/utils/fasm2pips.py b/utils/fasm2pips.py index 862bb7a04..6e92af9bc 100755 --- a/utils/fasm2pips.py +++ b/utils/fasm2pips.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ Tool for generating Vivavo commands to highlight objects from a FASM file. Currently this tool only highlights pips directly referenced in the FASM file. diff --git a/utils/fasm_pprint.py b/utils/fasm_pprint.py index 2b3d1d19d..1463e6bf2 100755 --- a/utils/fasm_pprint.py +++ b/utils/fasm_pprint.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC ''' Pretty print FASM. diff --git a/utils/find_missing_segbits.py b/utils/find_missing_segbits.py index 12ae1c841..7f0622762 100755 --- a/utils/find_missing_segbits.py +++ b/utils/find_missing_segbits.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ This script allows to find missing segbits in the database. diff --git a/utils/genheader.sh b/utils/genheader.sh index 611cda7d1..42d5f2921 100644 --- a/utils/genheader.sh +++ b/utils/genheader.sh @@ -1,4 +1,11 @@ # header for fuzzer generate.sh scripts +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC if [ -z "$XRAY_DATABASE" ]; then echo "No XRAY environment found. Make sure to source the settings file first!" diff --git a/utils/group.py b/utils/group.py index 8a27cf185..34fa19849 100755 --- a/utils/group.py +++ b/utils/group.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ This script Reads tag group definition from a file and applies the tag grouping. diff --git a/utils/groupmask.py b/utils/groupmask.py index db658ff1a..87da46ce5 100644 --- a/utils/groupmask.py +++ b/utils/groupmask.py @@ -1,4 +1,13 @@ -#/usr/bin/env python3 +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import sys, os, re from prjxray import util diff --git a/utils/info_md.py b/utils/info_md.py index cd9d292ef..00638e81c 100755 --- a/utils/info_md.py +++ b/utils/info_md.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import argparse import hashlib diff --git a/utils/makesdf.py b/utils/makesdf.py index 912e37f47..6ba2180c4 100644 --- a/utils/makesdf.py +++ b/utils/makesdf.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import json import argparse diff --git a/utils/maskmerge.sh b/utils/maskmerge.sh index e334b65bf..150135129 100755 --- a/utils/maskmerge.sh +++ b/utils/maskmerge.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC outfile="$1" shift diff --git a/utils/maskview.py b/utils/maskview.py index 15887aae7..16cf5b333 100755 --- a/utils/maskview.py +++ b/utils/maskview.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ This tool allows to view segbits using a 2D frame vs. bit plot. It can read either mask.db files or segbits.db files. Multiple files can be read at once diff --git a/utils/mergedb.py b/utils/mergedb.py index 7699ed028..f3d477a3a 100755 --- a/utils/mergedb.py +++ b/utils/mergedb.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os, sys from prjxray import util diff --git a/utils/mergedb.sh b/utils/mergedb.sh index 6e85a2c36..2237e3e06 100755 --- a/utils/mergedb.sh +++ b/utils/mergedb.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # $1: DB type # $2: filename to merge in diff --git a/utils/parsedb.py b/utils/parsedb.py index bda9e9efe..157e4162f 100755 --- a/utils/parsedb.py +++ b/utils/parsedb.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import sys, re from prjxray import util diff --git a/utils/quick_test.py b/utils/quick_test.py index c8ced2b2f..2ca3a8ac1 100755 --- a/utils/quick_test.py +++ b/utils/quick_test.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from __future__ import print_function import prjxray.db import prjxray.util diff --git a/utils/sdfmerge.py b/utils/sdfmerge.py index 2c7081fe0..75ea7b50c 100644 --- a/utils/sdfmerge.py +++ b/utils/sdfmerge.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import argparse import json diff --git a/utils/segprint.py b/utils/segprint.py index c15c4b9f7..87e8ce7ed 100755 --- a/utils/segprint.py +++ b/utils/segprint.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC ''' Take raw .bits files and decode them to higher level functionality diff --git a/utils/segview.py b/utils/segview.py index 667e41e2a..47ef88d1d 100755 --- a/utils/segview.py +++ b/utils/segview.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC ''' This script allows to load a number of .db or .rdb files and display bits in a nice visualization. diff --git a/utils/simpleroute.py b/utils/simpleroute.py index 90249bb18..0ba969b19 100755 --- a/utils/simpleroute.py +++ b/utils/simpleroute.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import sys, os, json import pickle diff --git a/utils/sort_db.py b/utils/sort_db.py index daf940b1d..7256b452e 100755 --- a/utils/sort_db.py +++ b/utils/sort_db.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC """ Canonicalize the Project X-Ray database files by sorting. The aim is to reduce the diff output between runs to make it clearer what has changed. diff --git a/utils/sp6_bitstream_analyzer.py b/utils/sp6_bitstream_analyzer.py index 382aa6745..f70b65d7b 100755 --- a/utils/sp6_bitstream_analyzer.py +++ b/utils/sp6_bitstream_analyzer.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC ''' Spartan 6 bitstream analyzer tool. diff --git a/utils/tcl-reformat.sh b/utils/tcl-reformat.sh index 3cf358740..a36d93a0f 100755 --- a/utils/tcl-reformat.sh +++ b/utils/tcl-reformat.sh @@ -1,4 +1,11 @@ #!/usr/bin/env bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # Wrapper to clean up newlines # We could do this in tcl...but tcl diff --git a/utils/test_fasm2frames.py b/utils/test_fasm2frames.py index 60fc49582..931bb5925 100755 --- a/utils/test_fasm2frames.py +++ b/utils/test_fasm2frames.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # TODO: need better coverage for different tile types from io import StringIO diff --git a/utils/tileconnloops.py b/utils/tileconnloops.py index 91bc3bebd..ab55b4af4 100755 --- a/utils/tileconnloops.py +++ b/utils/tileconnloops.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # # Produces a complete database of wires in the ROI and their connections and tests if each # routing node is a tree (i.e. fails with an error when a loop is found). diff --git a/utils/tileconnwire.py b/utils/tileconnwire.py index babcf8ce5..368d2df95 100755 --- a/utils/tileconnwire.py +++ b/utils/tileconnwire.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import os, sys, json diff --git a/utils/tilegrid_report.py b/utils/tilegrid_report.py index 2e04fb09b..1b25cd282 100755 --- a/utils/tilegrid_report.py +++ b/utils/tilegrid_report.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import argparse from prjxray.db import Database from prjxray.grid import BlockType diff --git a/utils/top_generate.sh b/utils/top_generate.sh index b429eb02b..7bd2b885e 100644 --- a/utils/top_generate.sh +++ b/utils/top_generate.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # Generic generate.sh for scripts that use top.py to generate top.v # and then use generate.py for segment generation diff --git a/utils/utils.tcl b/utils/utils.tcl index 34fd4e218..751f88475 100644 --- a/utils/utils.tcl +++ b/utils/utils.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC proc route_via { net nodes {assert 1} } { # Route a simple source to dest net via one or more intermediate nodes # the nodes do not have have to be directly reachable from each other diff --git a/utils/verify_tile_connections.py b/utils/verify_tile_connections.py index 1eb743a0e..b9b723803 100755 --- a/utils/verify_tile_connections.py +++ b/utils/verify_tile_connections.py @@ -1,3 +1,13 @@ +#!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC from __future__ import print_function import prjxray.db import prjxray.lib diff --git a/utils/vivado.sh b/utils/vivado.sh index beb09e0c7..0fa3a89f9 100755 --- a/utils/vivado.sh +++ b/utils/vivado.sh @@ -1,4 +1,11 @@ #!/bin/bash +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC source "${XRAY_VIVADO_SETTINGS}" diff --git a/utils/write_timing_info.tcl b/utils/write_timing_info.tcl index 46c85e14c..b6cee2378 100644 --- a/utils/write_timing_info.tcl +++ b/utils/write_timing_info.tcl @@ -1,3 +1,10 @@ +# Copyright (C) 2017-2020 The Project X-Ray Authors +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC # Writes a JSON5 to filename containing timing for current design. # This can be used with create_timing_worksheet_db.py to compare prjxray model # with Vivado timing model outputs. diff --git a/utils/xjson.py b/utils/xjson.py index 7affd7673..d45cfa027 100755 --- a/utils/xjson.py +++ b/utils/xjson.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import sys import json from prjxray.xjson import pprint diff --git a/utils/xyaml.py b/utils/xyaml.py index 08e9e3578..40661e8c7 100755 --- a/utils/xyaml.py +++ b/utils/xyaml.py @@ -1,4 +1,13 @@ #!/usr/bin/env python3 +# -*- coding: utf-8 -*- +# +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC import io import re import yaml diff --git a/vagrant/profile.d/vivado.sh b/vagrant/profile.d/vivado.sh index 34b77ddb8..3791f9e51 100755 --- a/vagrant/profile.d/vivado.sh +++ b/vagrant/profile.d/vivado.sh @@ -1,4 +1,11 @@ #!/bin/sh +# Copyright (C) 2017-2020 The Project X-Ray Authors. +# +# Use of this source code is governed by a ISC-style +# license that can be found in the LICENSE file or at +# https://opensource.org/licenses/ISC +# +# SPDX-License-Identifier: ISC VIVADO_BIN="$(find /opt/Xilinx/Vivado -maxdepth 2 -name bin)" if [ -n "$VIVADO_BIN" ]; then