Skip to content

Commit

Permalink
Remove unnecessary checking in JAL property
Browse files Browse the repository at this point in the history
  • Loading branch information
a3012085 committed Oct 24, 2024
1 parent 2ea1072 commit ae7c9fc
Showing 1 changed file with 2 additions and 2 deletions.
4 changes: 2 additions & 2 deletions property/isa.sv
Original file line number Diff line number Diff line change
Expand Up @@ -619,13 +619,13 @@ module isa (
// verilog_format: off
property E2E_JAL_RD_IS_PC_PLUS_4;
@(posedge clk) disable iff (rst)
(wb_inst_dc.opcode == OPC_JAL) && (!core_wb_stall)
(wb_inst_dc.opcode == OPC_JAL)
&& (wb_pipeline_info.inst_valid == 1'b1)
|-> (core.wb_r == wb_pipeline_info.inst_pc.pc + 4);
endproperty

sequence VALID_JAL;
(wb_inst_dc.opcode == OPC_JAL) && (!core_wb_stall)
(wb_inst_dc.opcode == OPC_JAL)
&& (wb_pipeline_info.inst_valid == 1'b1);
endsequence

Expand Down

0 comments on commit ae7c9fc

Please sign in to comment.