Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

added packing failing EDA-3250 #271

Merged
merged 1 commit into from
Sep 19, 2024
Merged
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
11,096 changes: 11,096 additions & 0 deletions EDA-3250/raptor.log

Large diffs are not rendered by default.

18 changes: 18 additions & 0 deletions EDA-3250/raptor.tcl
Original file line number Diff line number Diff line change
@@ -0,0 +1,18 @@
create_design wrapper_multi_enc_decx2x4
target_device 1VG28
add_include_path ./wrapper_rtl
add_design_file ./wrapper_rtl/decoder.sv
add_design_file ./wrapper_rtl/encoder.sv
add_design_file ./wrapper_rtl/multi_enc_decx2x4.sv
add_design_file ./wrapper_rtl/topenc_decx2.sv
add_design_file ./wrapper_rtl/wrapper_multi_enc_decx2x4.sv
set_top_module wrapper_multi_enc_decx2x4
add_constraint_file ./raptor_sdc.sdc
analyze
synthesize delay
packing
place
route
sta
power
bitstream
3 changes: 3 additions & 0 deletions EDA-3250/raptor_sdc.sdc
Original file line number Diff line number Diff line change
@@ -0,0 +1,3 @@
create_clock -period 2.5 clock
set_input_delay 0.1 -clock clock [get_ports {*}]
set_output_delay 0.1 -clock clock [get_ports {*}]
62 changes: 62 additions & 0 deletions EDA-3250/results_dir/CGA_Result.json
Original file line number Diff line number Diff line change
@@ -0,0 +1,62 @@
{
"strategy": "delay",
"total_runtime": 324522,
"synthesis_runtime": 322500,
"packing_runtime": 631,
"placement_runtime": null,
"routing_runtime": null,
"time_analysis_runtime": null,
"bitstream_runtime": null,
"reg_id": "23",
"device": "1VG28",
"target device": "1VG28",
"version": "2024.09",
"git_hash": "89d4d1b",
"built": "1.2.3",
"built_type": "Engineering",
"status": "Fail",
"error_msg": "syntax error, unexpected '(', expecting TOK_ID or '#' ANL: Default parser failed, re-attempting with SV parser PAC: Design wrapper_multi_enc_decx2x4 packing failed",
"post_synth_sim_status": null,
"post_route_sim_status": null,
"bitstream_sim_status": null,
"failure_type": "PAC",
"fmax_clock1": null,
"fmax_clock2": null,
"fmax_clock3": null,
"fmax_clock4": null,
"fmax_clock5": null,
"fmax_clock6": null,
"wns_clock1": null,
"wns_clock2": null,
"wns_clock3": null,
"wns_clock4": null,
"wns_clock5": null,
"wns_clock6": null,
"tns": null,
"fmax_geomean": 0,
"registers": 527,
"total_luts": 1721,
"brams": 40,
"dsp": null,
"Adder_Carry": null,
"CLB": null,
"LUT_CLB_ratio": null,
"CLB_percentage_used": null,
"FLE_Percentage_used": null,
"Wirelength_Percentage_used": null,
"logic_level_clock1": 0,
"logic_level_clock2": null,
"logic_level_clock3": null,
"logic_level_clock4": null,
"logic_level_clock5": null,
"logic_level_clock6": null,
"total_power": null,
"dynamic_power": null,
"static_power": null,
"target_freq_clock1": 400.0,
"target_freq_clock2": null,
"target_freq_clock3": null,
"target_freq_clock4": null,
"target_freq_clock5": null,
"target_freq_clock6": null
}
11,096 changes: 11,096 additions & 0 deletions EDA-3250/results_dir/raptor.log

Large diffs are not rendered by default.

23 changes: 23 additions & 0 deletions EDA-3250/results_dir/raptor_cmd.tcl
Original file line number Diff line number Diff line change
@@ -0,0 +1,23 @@
# /*******************************************************************************
# Copyright (c) 2022-2024 Rapid Silicon
# This source code contains proprietary information belonging to Rapid Silicon
# (the "licensor") released under license and non-disclosure agreement to the
# recipient (the "licensee").
# The information shared and protected by the license and non-disclosure agreement
# includes but is not limited to the following:
# * operational algorithms of the product
# * logos, graphics, source code, and visual presentation of the product
# * confidential operational information of the licensor
# The recipient of this source code is NOT permitted to publicly disclose,
# re-use, archive beyond the period of the license agreement, transfer to a
# sub-licensee, or re-implement any portion of the content covered by the license
# and non-disclosure agreement without the prior written consent of the licensor.
# *********************************************************************************/
# Version : 2024.09
# Build : 1.2.3
# Hash : 89d4d1b
# Date : Sep 19 2024
# Type : Engineering
# Log Time : Thu Sep 19 09:18:37 2024 GMT
source /nfs_eda_sw/softwares/Raptor/instl_dir/09_19_2024_09_15_02/share/raptor/etc/init/flow.tcl
source /nfs_eda_sw/softwares/Raptor/instl_dir/09_19_2024_09_15_02/share/raptor/etc/init/sim_helpers.tcl
38 changes: 38 additions & 0 deletions EDA-3250/results_dir/raptor_perf.log
Original file line number Diff line number Diff line change
@@ -0,0 +1,38 @@
/*******************************************************************************
Copyright (c) 2022-2024 Rapid Silicon
This source code contains proprietary information belonging to Rapid Silicon
(the "licensor") released under license and non-disclosure agreement to the
recipient (the "licensee").

The information shared and protected by the license and non-disclosure agreement
includes but is not limited to the following:
* operational algorithms of the product
* logos, graphics, source code, and visual presentation of the product
* confidential operational information of the licensor

The recipient of this source code is NOT permitted to publicly disclose,
re-use, archive beyond the period of the license agreement, transfer to a
sub-licensee, or re-implement any portion of the content covered by the license
and non-disclosure agreement without the prior written consent of the licensor.
*********************************************************************************/

Version : 2024.09
Build : 1.2.3
Hash : 89d4d1b
Date : Sep 19 2024
Type : Engineering
Log Time : Thu Sep 19 09:18:37 2024 GMT

[ 14:18:37 ] Analysis has started
[ 14:18:37 ] Command: /nfs_eda_sw/softwares/Raptor/instl_dir/09_19_2024_09_15_02/bin/yosys -s /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/multi_enc_decx2x4/results_dir/wrapper_multi_enc_decx2x4/run_1/synth_1_1/analysis/wrapper_multi_enc_decx2x4_analyzer.cmd
[ 14:18:38 ] Duration: 119 ms. Max utilization: 45 MB
[ 14:18:38 ] Analysis has started
[ 14:18:38 ] Command: /nfs_eda_sw/softwares/Raptor/instl_dir/09_19_2024_09_15_02/bin/yosys -s /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/multi_enc_decx2x4/results_dir/wrapper_multi_enc_decx2x4/run_1/synth_1_1/analysis/wrapper_multi_enc_decx2x4_analyzer.cmd
[ 14:18:39 ] Duration: 1272 ms. Max utilization: 100 MB
[ 14:18:39 ] Synthesize has started
[ 14:18:39 ] Command: /nfs_eda_sw/softwares/Raptor/instl_dir/09_19_2024_09_15_02/bin/yosys -s wrapper_multi_enc_decx2x4.ys -l wrapper_multi_enc_decx2x4_synth.log
[ 14:24:01 ] Duration: 322500 ms. Max utilization: 1795 MB
[ 14:24:02 ] Packing has started
[ 14:24:02 ] Analysis has started
[ 14:24:02 ] Command: /nfs_eda_sw/softwares/Raptor/instl_dir/09_19_2024_09_15_02/bin/vpr /nfs_eda_sw/softwares/Raptor/instl_dir/09_19_2024_09_15_02/share/raptor/etc/devices/gemini_compact_62x44/gemini_vpr.xml /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/multi_enc_decx2x4/results_dir/wrapper_multi_enc_decx2x4/run_1/synth_1_1/synthesis/fabric_wrapper_multi_enc_decx2x4_post_synth.eblif --sdc_file /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/multi_enc_decx2x4/results_dir/wrapper_multi_enc_decx2x4/run_1/synth_1_1/impl_1_1_1/packing/fabric_wrapper_multi_enc_decx2x4_openfpga.sdc --route_chan_width 160 --suppress_warnings check_rr_node_warnings.log,check_rr_node --clock_modeling ideal --absorb_buffer_luts off --skip_sync_clustering_and_routing_results off --constant_net_method route --post_place_timing_report wrapper_multi_enc_decx2x4_post_place_timing.rpt --device castor62x44_heterogeneous --allow_unrelated_clustering on --allow_dangling_combinational_nodes on --place_delta_delay_matrix_calculation_method dijkstra --gen_post_synthesis_netlist on --post_synth_netlist_unconn_inputs gnd --inner_loop_recompute_divider 1 --max_router_iterations 1500 --timing_report_detail detailed --timing_report_npaths 100 --top wrapper_multi_enc_decx2x4 --net_file /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/multi_enc_decx2x4/results_dir/wrapper_multi_enc_decx2x4/run_1/synth_1_1/impl_1_1_1/packing/fabric_wrapper_multi_enc_decx2x4_post_synth.net --place_file /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/multi_enc_decx2x4/results_dir/wrapper_multi_enc_decx2x4/run_1/synth_1_1/impl_1_1_1/placement/fabric_wrapper_multi_enc_decx2x4_post_synth.place --route_file /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/multi_enc_decx2x4/results_dir/wrapper_multi_enc_decx2x4/run_1/synth_1_1/impl_1_1_1/routing/fabric_wrapper_multi_enc_decx2x4_post_synth.route --pack
[ 14:24:02 ] Duration: 631 ms. Max utilization: 232 MB
100 changes: 100 additions & 0 deletions EDA-3250/results_dir/raptor_tail.log
Original file line number Diff line number Diff line change
@@ -0,0 +1,100 @@
Warning 79: dsp[0].sr_in[2] unconnected pin in architecture.
Warning 80: dsp[0].sr_out[0] unconnected pin in architecture.
Warning 81: dsp[0].sr_out[1] unconnected pin in architecture.
Warning 82: dsp[0].sr_out[2] unconnected pin in architecture.
Warning 83: bram[0].sr_in[0] unconnected pin in architecture.
Warning 84: bram[0].sr_in[1] unconnected pin in architecture.
Warning 85: bram[0].sr_in[2] unconnected pin in architecture.
Warning 86: bram[0].sr_in[3] unconnected pin in architecture.
Warning 87: bram[0].sr_in[4] unconnected pin in architecture.
Warning 88: bram[0].sr_in[5] unconnected pin in architecture.
Warning 89: bram[0].plr_i[0] unconnected pin in architecture.
Warning 90: bram[0].plr_i[1] unconnected pin in architecture.
Warning 91: bram[0].plr_i[2] unconnected pin in architecture.
Warning 92: bram[0].plr_i[3] unconnected pin in architecture.
Warning 93: bram[0].plr_i[4] unconnected pin in architecture.
Warning 94: bram[0].plr_i[5] unconnected pin in architecture.
Warning 95: bram[0].plr_i[6] unconnected pin in architecture.
Warning 96: bram[0].plr_i[7] unconnected pin in architecture.
Warning 97: bram[0].plr_i[8] unconnected pin in architecture.
Warning 98: bram[0].plr_i[9] unconnected pin in architecture.
Warning 99: bram[0].plr_i[10] unconnected pin in architecture.
Warning 100: bram[0].plr_i[11] unconnected pin in architecture.
Warning 101: bram[0].plr_i[12] unconnected pin in architecture.
Warning 102: bram[0].plr_i[13] unconnected pin in architecture.
Warning 103: bram[0].plr_i[14] unconnected pin in architecture.
Warning 104: bram[0].plr_i[15] unconnected pin in architecture.
Warning 105: bram[0].plr_i[16] unconnected pin in architecture.
Warning 106: bram[0].plr_i[17] unconnected pin in architecture.
Warning 107: bram[0].plr_i[18] unconnected pin in architecture.
Warning 108: bram[0].plr_i[19] unconnected pin in architecture.
Warning 109: bram[0].plr_i[20] unconnected pin in architecture.
Warning 110: bram[0].plr_i[21] unconnected pin in architecture.
Warning 111: bram[0].plr_i[22] unconnected pin in architecture.
Warning 112: bram[0].plr_i[23] unconnected pin in architecture.
Warning 113: bram[0].plr_i[24] unconnected pin in architecture.
Warning 114: bram[0].plr_i[25] unconnected pin in architecture.
Warning 115: bram[0].plr_i[26] unconnected pin in architecture.
Warning 116: bram[0].plr_i[27] unconnected pin in architecture.
Warning 117: bram[0].plr_i[28] unconnected pin in architecture.
Warning 118: bram[0].plr_i[29] unconnected pin in architecture.
Warning 119: bram[0].plr_i[30] unconnected pin in architecture.
Warning 120: bram[0].plr_i[31] unconnected pin in architecture.
Warning 121: bram[0].plr_i[32] unconnected pin in architecture.
Warning 122: bram[0].plr_i[33] unconnected pin in architecture.
Warning 123: bram[0].plr_i[34] unconnected pin in architecture.
Warning 124: bram[0].plr_i[35] unconnected pin in architecture.
Warning 125: bram[0].sr_out[0] unconnected pin in architecture.
Warning 126: bram[0].sr_out[1] unconnected pin in architecture.
Warning 127: bram[0].sr_out[2] unconnected pin in architecture.
Warning 128: bram[0].sr_out[3] unconnected pin in architecture.
Warning 129: bram[0].sr_out[4] unconnected pin in architecture.
Warning 130: bram[0].sr_out[5] unconnected pin in architecture.
Warning 131: bram[0].plr_o[0] unconnected pin in architecture.
Warning 132: bram[0].plr_o[1] unconnected pin in architecture.
Warning 133: bram[0].plr_o[2] unconnected pin in architecture.
Warning 134: bram[0].plr_o[3] unconnected pin in architecture.
Warning 135: bram[0].plr_o[4] unconnected pin in architecture.
Warning 136: bram[0].plr_o[5] unconnected pin in architecture.
Warning 137: bram[0].plr_o[6] unconnected pin in architecture.
Warning 138: bram[0].plr_o[7] unconnected pin in architecture.
Warning 139: bram[0].plr_o[8] unconnected pin in architecture.
Warning 140: bram[0].plr_o[9] unconnected pin in architecture.
Warning 141: bram[0].plr_o[10] unconnected pin in architecture.
Warning 142: bram[0].plr_o[11] unconnected pin in architecture.
Warning 143: bram[0].plr_o[12] unconnected pin in architecture.
Warning 144: bram[0].plr_o[13] unconnected pin in architecture.
Warning 145: bram[0].plr_o[14] unconnected pin in architecture.
Warning 146: bram[0].plr_o[15] unconnected pin in architecture.
Warning 147: bram[0].plr_o[16] unconnected pin in architecture.
Warning 148: bram[0].plr_o[17] unconnected pin in architecture.
Warning 149: bram[0].plr_o[18] unconnected pin in architecture.
Warning 150: bram[0].plr_o[19] unconnected pin in architecture.
Warning 151: bram[0].plr_o[20] unconnected pin in architecture.
Warning 152: bram[0].plr_o[21] unconnected pin in architecture.
Warning 153: bram[0].plr_o[22] unconnected pin in architecture.
Warning 154: bram[0].plr_o[23] unconnected pin in architecture.
Warning 155: bram[0].plr_o[24] unconnected pin in architecture.
Warning 156: bram[0].plr_o[25] unconnected pin in architecture.
Warning 157: bram[0].plr_o[26] unconnected pin in architecture.
Warning 158: bram[0].plr_o[27] unconnected pin in architecture.
Warning 159: bram[0].plr_o[28] unconnected pin in architecture.
Warning 160: bram[0].plr_o[29] unconnected pin in architecture.
Warning 161: bram[0].plr_o[30] unconnected pin in architecture.
Warning 162: bram[0].plr_o[31] unconnected pin in architecture.
Warning 163: bram[0].plr_o[32] unconnected pin in architecture.
Warning 164: bram[0].plr_o[33] unconnected pin in architecture.
Warning 165: bram[0].plr_o[34] unconnected pin in architecture.
Warning 166: bram[0].plr_o[35] unconnected pin in architecture.
# Building complex block graph took 0.04 seconds (max_rss 21.6 MiB, delta_rss +0.0 MiB)
/nfs_eda_sw/softwares/Raptor/orgnl/Raptor/Backend/OpenFPGA/vtr-verilog-to-routing/vpr/src/base/netlist.tpp:1947 associate_pin_with_net: Assertion 'net_pins_[net_id][0] == PinId::INVALID()' failed (Must be no existing net driver).
Circuit file: /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/multi_enc_decx2x4/results_dir/wrapper_multi_enc_decx2x4/run_1/synth_1_1/synthesis/fabric_wrapper_multi_enc_decx2x4_post_synth.eblif
# Load circuit
Found constant-zero generator '$false'
Found constant-one generator '$true'
Found constant-zero generator '$undef'
ERROR: PAC: Design wrapper_multi_enc_decx2x4 packing failed
Design wrapper_multi_enc_decx2x4 packing failed
while executing
"packing"
(file "../raptor_tcl.tcl" line 13)
Loading
Loading